Skip to main content

Towards an Asynchronous MIPS Processor

  • Conference paper
Advances in Computer Systems Architecture (ACSAC 2003)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 2823))

Included in the following conference series:

Abstract

Synchronous VLSI design is approaching a critical point, with clock distribution becoming an increasingly costly and complicated issue and power consumption rapidly emerging as a major concern. Hence, the last decade has witnessed a resurgence of interest in asynchronous digital design techniques as they promise to liberate VLSI systems from clock skew problems, offer the potential for low power and high performance and encourage a modular design philosophy which makes incremental technological migration a much easier task. This paper discusses an asynchronous version of the MIPS microprocessor, presenting the techniques that have been devised to address data and control hazards.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. The AMULET Group, http://www.cs.man.ac.uk/amulet/index.html

  2. The Balsa Asynchronous Synthesis System, http://www.cs.man.ac.uk/amulet/projects/balsa/

  3. Birtwistle, G., Davis, A. (eds.): Synchronous Digital Circuit Design. Springer, Heidelberg (1995)

    Google Scholar 

  4. Brunvand, E.: The NSR Processor. In: Proceedings of the 26th Annual Hawaii International Conference on System Sciences, Maui, Hawaii, pp. 428–435 (1993)

    Google Scholar 

  5. Cho, K.R., Okura, K., Asada, K.: Design of a 32-bit Fully Asynchronous Microprocessor (FAM). In: Proceedings of the 35th Midwest Symposium on Circuits and Systems, Washington D.C., pp. 1500–1503 (1992)

    Google Scholar 

  6. Dean, M.E.: STRiP: A Self-Timed RISC Processor, Technical Report CSL-TR- 92-543, Computer Systems Laboratory, Stanford University (July 1992)

    Google Scholar 

  7. Elston, C.J., et al.: Hades - Towards the Design of an Asynchronous Superscalar Processor. In: Proceedings of the 2nd Working Conference on Asynchronous Design Methodologies, London, pp. 200–209 (1995)

    Google Scholar 

  8. Endecott, P.B.: SCALP: A Superscalar Asynchronous Low-Power Processor, PhD thesis, Dept. of Computer Science, Univ. of Manchester (1995)

    Google Scholar 

  9. Furber, S.B.: Computing Without Clocks. In: [3], pp. 211–262

    Google Scholar 

  10. Furber, S.B., et al.: AMULET2e: An Asynchronous Embedded Controller. In: Proceedings of Async 1997 Conference, pp. 290–299. IEEE Computer Society Press, Los Alamitos (1997)

    Google Scholar 

  11. Garside, J.D., et al.: AMULET3 Revealed. In: Proceedings of Async 1999 Conference, pp. 51–59. IEEE Computer Society Press, Los Alamitos (1999)

    Google Scholar 

  12. Gilbert, D.A., Garside, J.D.: A result forwarding mechanism for asynchronous pipelined systems. In: IEEE Proc. Int. Symp. Advanced Research in Asynchronous Circuits & Syst., pp. 2–11 (1997)

    Google Scholar 

  13. Kane, G., Heinrich, J.: MIPS RISC Architecture. Prentice-Hall, Englewood Cliffs (1992)

    Google Scholar 

  14. Martin, J., et al.: Design of an Asynchronous Microprocessor. In: Proceedings of the Decennial Caltech Conference on VLSI, Advanced Research in VLSI 1989, pp. 351–373 (1989)

    Google Scholar 

  15. Martin, A.J., Lines, A., Manohar, R., Nystroem, M., et al.: The Design of an Asynchronous MIPS R3000 Processor. In: 17th Conference on Advanced Research in VLSI, IEEE, pp. 164–181. IEEE Computer Society Press, Los Alamitos (1997)

    Google Scholar 

  16. Mead, C.A., Conway, L.A.: Introduction to VLSI Systems. Addison Wesley, Reading (1980)

    Google Scholar 

  17. Nanya, T., et al.: TITAC: Design of a Quasi-delay-Insensitive Microprocessor. IEEE Design and Test of Computers 11(2), 50–63 (1994)

    Article  Google Scholar 

  18. Patterson, D.A., Hennessy, J.L.: Computer Organization & Design, 2nd edn. Morgan Kaufmann, San Francisco (1998)

    MATH  Google Scholar 

  19. Paver, N.C., et al.: Register Locking in an Asynchronous Microprocessor. In: Proceedings of ICCD 1992, October 1992, pp. 351–355 (1992)

    Google Scholar 

  20. Peeters, M.G.: Tangram99 talk. In: Josephs, M.B., Yakovlev, A.V. (eds.) ACiD WG Workshop - University of Newcastle upon Tyne, Philips Research, January 18-19 (1999)

    Google Scholar 

  21. Plana, L.A., Riocreux, P.A., et al.: SPA - A Synthesisable Amulet Core for Smartcard Applications. In: Proceedings of Async 2002, pp. 201–210 (2002)

    Google Scholar 

  22. Richardson, W.F., Brunvand, E.: Fred: An Architecture for a Self-Timed Decoupled Computer, Technical Report UUCS-95-008, University of Utah (May 1995), Available at: ftp://ftp.cs.utah.edu/techreports/1995/UUCS-95-008.ps.Z

  23. Sharp’s Data-Driven Media Processor, http://www.sharpsdi.com/DDMPhtmlpages/DDMPmain.html

  24. Sproull, R.F., Sutherland, I.E., Molnar, C.E.: The Counterflow Pipeline Processor Architecture. IEEE Design and Test of Computers 11(3), 48–59 (1994)

    Article  Google Scholar 

  25. Sutherland, I.E.: Micropipelines. Communications of the ACM 32(1), 720–738 (1989)

    Article  MathSciNet  Google Scholar 

  26. Theodoropoulos, G.: Modelling and Distributed Simulation of Asynchronous Hardware. Simulation Practice and Theory Journal (7), 741–767 (2000)

    Google Scholar 

  27. Theodoropoulos, G., Zhang, Q.: A Distributed Colouring Algorithm for Control Hazards in Asynchronous Pipelines. Submitted to the 36th International Symposium on Microarchitecture (MICRO-36), San Diego, CA, USA, December 3-5 (2003)

    Google Scholar 

  28. Werner, T., Venkatesh, A.: Asynchronous Processor Survey. IEEE Computer 30(11), 67–76 (1997)

    Google Scholar 

  29. Woods, J.V., Day, P., Furber, S.B., Garside, J.D., Paver, N.C., Temple, S.: AMULET1: An Asynchronous ARM Microprocessor. IEEE Transactions on Computers 46(4), 385–398 (1997)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Zhang, Q., Theodoropoulos, G. (2003). Towards an Asynchronous MIPS Processor. In: Omondi, A., Sedukhin, S. (eds) Advances in Computer Systems Architecture. ACSAC 2003. Lecture Notes in Computer Science, vol 2823. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-39864-6_12

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-39864-6_12

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-20122-9

  • Online ISBN: 978-3-540-39864-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics