Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 4644))

  • 1422 Accesses

Abstract

A low-power content addressable memory (CAM) with read/write and mask match ports is proposed. The CAM cell is based on the conventional 6T cross-coupled inverters used for storing data with an addition of two NMOS transistors for reading out. In addition, the CAM has another four transistors for mask comparison operation through classical pre-charge operation. The read-out port exploits a pre-charge reading mechanism in order to alleviate the drawback of power consumption generated from sensing amplifiers and all other related synchronization circuits which are structured in every column in the memory. Thus, the read and match features can have concurrent operations. An experimental CAM structure of storage size 64-bit x 128-bit is designed using 0.18-μm CMOS single poly and three layers of metals measuring a cell die area of 24.4375 μm2 and a total silicon area of 0.269192 mm2. The circuit works up to 200 MHz in simulation with total power consumption of 0.016 W at 1.8-V supply voltage.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Pei, T.B., Zukowski, C.: VLSI implementation of routing tables: tries and CAMs. In: Proc. IEEE INFOCOM, 1991, vol. 2, pp. 515–524 (1991)

    Google Scholar 

  2. Panchanathan, S., Goldberg, M.: A content-addressable memomry architecture for image coding using vector quantization. IEEE Trans. Signal Process 39(9), 2066–2078 (1991)

    Article  Google Scholar 

  3. Lee, C.Y., Yang, R.Y.: High-throughput data compresser designs using content addressable memory. IEE Proc. Circuits, Devices and Syst. 142(1), 69–73 (1995)

    Article  Google Scholar 

  4. Wade, J.P., Sodini, C.G.: A ternary content-addressable search engine. IEEE J. Solid-State Circuits 24, 1003–1013 (1989)

    Article  Google Scholar 

  5. Miyatake, H., Tanaka, M., Mori, Y.: A design for high –speed low-power CMOS fully parallel content-addressable memory macros. IEEE J. Solid-State Circuits 36(6), 956–968 (2001)

    Article  Google Scholar 

  6. Delgado-Frias, J.G., Yu, A., Nyathi, J.: A dynamic content addressable memory using a 4-transistor cell. In: Design of Mixed-Mode Integrated Circuits and Applications. Third International Workshop, July 26-28, 1999, pp. 110–113 (1999)

    Google Scholar 

  7. Lin, C.S., Chang, J.C., Liu, B.D.: Design for low-power, low-cost, and high reliability precomputation-based content-addreessable memory. In: Circuits and Systems, 2002 APCCAS 2002. Asia-Pacific Conference, October 28-31, 2002, vol. 2, pp. 319–324 (2002)

    Google Scholar 

  8. Miw, T., Yamada, H., Hirota, Y., Satoh, T., Hara, H.: A 1MB 2-Tr/b nonvolatile CAM based on flash memory technologies. IEEE J. Solid-State Circuit 31(11), 1601–1609 (1996)

    Article  Google Scholar 

  9. Thirugnanam, G., Vijaykrishnan, N., Irwin, M.J.: A novel low power CAM design. In: ASIC/SOC Conference, 2001. Proceedings. 14th Annual IEEE International, 12-15 September 2001, pp. 198–202. IEEE Computer Society Press, Los Alamitos (2001)

    Chapter  Google Scholar 

  10. Cheng, K.-H., Wei, C.-H., Chen, Y.-W.: Design of low-power content-addressable memory cell. In: Symposium on Circuits and Systems, 2003. MWSCAS 2003. Proceedings of the 46th IEEE International Midwest, 27-30 December 2003, vol. 3, pp. 1447–1450 (2003)

    Google Scholar 

  11. Abdel-hafeez, S.M., Sribhashyam, S.P.: System and method for efficiently implementing double data rate memory architecture. US patent No. 6,356,509, Issued March 12, 2002 (2002)

    Google Scholar 

  12. Chang, L., et al.: Stable SRAM cell design for the 32 nm node and beyond. In: Symp. VLSI Technology Dig., June 2005, pp. 128–129 (2005)

    Google Scholar 

  13. Takeda, K., et al.: A Read-Static-Noise-Margin-Free SRAM Cell for Low-Vdd and High-Speed Applications. IEEE JSSC 41(1), 113–121 (2006)

    Google Scholar 

  14. Stojanovic, V., Oklobdzija, V.G.: Comparative Analysis of Master-Salve Latches and Flip-Flops for High Pefromance and low-Power Systems. IEEE J. Solid-State Circuits 34(4), 536–548 (1999)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Nadine Azémard Lars Svensson

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Abdel-Hafeez, S., Harb, S.M., Eisenstadt, W.R. (2007). Low-Power Content Addressable Memory With Read/Write and Matched Mask Ports. In: Azémard, N., Svensson, L. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2007. Lecture Notes in Computer Science, vol 4644. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-74442-9_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-74442-9_8

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-74441-2

  • Online ISBN: 978-3-540-74442-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics