Skip to main content

Neural Processor as a Dynamic Power Manager for Digital Systems

  • Conference paper
MICAI 2008: Advances in Artificial Intelligence (MICAI 2008)

Part of the book series: Lecture Notes in Computer Science ((LNAI,volume 5317))

Included in the following conference series:

Abstract

In this paper the utilization of neural processors as supervisory units that control predictive techniques of dynamic power management is described. Power management becomes more and more important as density of power dissipated in modern integrated circuits, especially microprocessors, continuously raises and can be even higher than 4 megawatts per square meter. It causes temperature increases that might be dangerous for the chip. The  presented  supervisors that are based on neurons allow correct prediction of chip temperature on the basis of current temperature, power losses that will be consumed in the next units of time, as well as previous power dissipations. Their task is to keep the throughput of high-frequency and high-efficiency systems on the highest possible level under the conditions of energy savings and maintaining safe temperature of chip. The supervisory units are designed using 32-bit and fixed-point precision.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Gołda, A.: Reduction of Energy Losses in CMOS Circuits Considering Die Temperature. Doctoral thesis (2008)

    Google Scholar 

  2. Daasch, W.R., Lim, C.H., Cai, G.: Design of VLSI CMOS Circuits Under Thermal Constraint. IEEE Trans. on Circuits and Systems-II: Analog and Digital Signal Processing 49(8), 589–593 (2002)

    Article  Google Scholar 

  3. Burd, T.D., Pering, T.A., Stratakos, A.J., Brodersen, R.W.: A Dynamic Voltage Scaled Microprocessor System. IEEE J. of Solid-State Circuits 35(11), 1571–1580 (2000)

    Article  Google Scholar 

  4. Nowka, K.J., et al.: A 32-bit PowerPC System-on-a-Chip With Support for Dynamic Voltage Scaling and Dynamic Frequency Scaling. IEEE J. of Solid-State Circuits 37(11), 1441–1447 (2002)

    Article  Google Scholar 

  5. Kim, B.-G., Kim, L.-S.: A 250-MHz–2-GHz Wide-Range Delay-Locked Loop. IEEE J. of Solid-State Circuits 40(6), 1310–1321 (2005)

    Article  Google Scholar 

  6. Pouwelse, J., Langendoen, K., Sips, H.: Dynamic Voltage Scaling on a Low-Power Microprocessor. In: Proceedings of the 7th Annual International Conference on Mobile Computing and Networking MobiCom 2001, Rome, Italy, July 16-21, 2001, pp. 251–259 (2001)

    Google Scholar 

  7. Chowdhury, P., Chakrabarti, C.: Static Task-Scheduling Algorithms for Battery-Powered DVS Systems. IEEE Trans. on Very Large Scale Integration (VLSI) Systems 13(2), 226–237 (2005)

    Article  Google Scholar 

  8. Hua, S., Qu, G.: Voltage Setup Problem for Embedded Systems with Multiple Voltages. IEEE Trans. on Very Large Scale Integration (VLSI) Systems 13(7), 869–872 (2005)

    Article  Google Scholar 

  9. Zhai, B., Blaauw, D., Sylvester, D., Flautner, K.: The Limit of Dynamic Voltage Scaling and Insomniac Dynamic Voltage Scaling. IEEE Trans. on Very Large Scale Integration (VLSI) Systems 13(11), 1239–1252 (2005)

    Article  Google Scholar 

  10. Chen, J.-J., Yang, C.-Y., Kuo, T.-W., Shih, C.-S.: Energy-Efficient Real-Time Task Scheduling in Microprocessor DVS Systems. In: Proceedings of the 12th Asia and South Pacific Design Automation Conference ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 342–349 (2007)

    Google Scholar 

  11. Zhang, Y., Chakrabarty, K.: A Unified Approach for Fault Tolerance and Dynamic Power Management in Fixed-Priority Real-Time Embedded Systems. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 25(1), 111–125 (2006)

    Article  Google Scholar 

  12. Gołda, A., Kos, A.: Predictive frequency control for low power digital systems. In: Proceedings of the International Conference Mixed Design of Integrated Circuits and Systems MIXDES 2006, Gdynia, Poland, June 22-24, 2006, pp. 441–445 (2006)

    Google Scholar 

  13. Gołda, A., Kos, A.: Effective Supervisors for Predictive Methods of Dynamic Power Management. In: Proceedings of the 14th International Conference Mixed Design of Integrated Circuits and Systems MIXDES 2007, Ciechocinek, Poland, June 21-23, 2007, pp. 381–386 (2007)

    Google Scholar 

  14. Bhavnagarwala, A.J., Austin, B.L., Bowman, K.A., Meindl, J.D.: A minimum Total Power Methodology for Projecting Limits on CMOS GSI. IEEE Trans. on Very Large Scale Integration (VLSI) Systems 8(3), 235–251 (2000)

    Article  Google Scholar 

  15. Wei, L., Roy, K., De, V.K.: Low Voltage Low Power CMOS Design Techniques for Deep Submicron ICs. In: Proceedings of the Thirteenth International Conference on VLSI Design, Calcutta, India, January 3-7, pp. 24–29 (2000)

    Google Scholar 

  16. Dongyan, H., Ming, Z., Wei, Z.: Design Methodology of CMOS Low Power. In: Proceedings of the IEEE International Conference on Industrial Technology IEEE ICIT 2005, Hong Kong, December 14-17, 2005, pp. 114–118 (2005)

    Google Scholar 

  17. Liao, W., He, L., Lepak, K.M.: Temperature and Supply Voltage Aware Performance and Power Modeling at Microarchitecture Level. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24(7), 1042–1053 (2005)

    Article  Google Scholar 

  18. Semenov, O., Vassighi, A., Sachdev, M.: Impact of technology scaling on thermal behavior of leakage current in sub-quarter micron MOSFETs: perspective of low temperature current testing. Microelectronics Journal 33, 985–994 (2002)

    Article  Google Scholar 

  19. Roy, K., Mukhopadhyay, S., Mahmoodi-Meimand, H.: Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits. Proceedings of the IEEE 91(2), 305–327 (2003)

    Article  Google Scholar 

  20. Abdollahi, A., Fallah, F., Pedram, M.: Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. In: Proceedings of the Fourth International Symposium on Quality of Electronic Design ISQED 2003, San Jose, USA, March 24-26, 2003, pp. 49–54 (2003)

    Google Scholar 

  21. Kuźmicz, W., Piwowarska, E., Pfitzner, A., Kasprowicz, D.: Static Power Consumption in Nano-CMOS Cicruits: Physics and Modelling. In: Proceedings of the 14th International Conference Mixed Design of Integrated Circuits and Systems MIXDES 2007, Ciechocinek, Poland, June 21-23, 2007, pp. 163–168 (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2008 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Gołda, A., Kos, A. (2008). Neural Processor as a Dynamic Power Manager for Digital Systems. In: Gelbukh, A., Morales, E.F. (eds) MICAI 2008: Advances in Artificial Intelligence. MICAI 2008. Lecture Notes in Computer Science(), vol 5317. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-88636-5_32

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-88636-5_32

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-88635-8

  • Online ISBN: 978-3-540-88636-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics