Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5349))

Abstract

An analysis of the efficiency of power-gating for Clocked Storage Elements (CSEs) is presented. Two CSE topologies: the Transmission Gate Master Slave latch (TGMS) and the Write Port Master Slave latch (WPMS) are examined along with their respective circuits with sleep transistors. In this work, we study the benefits of adding sleep transistors coupled with regular clock-gating during inactive mode. We examine the energy savings for standard clock gated CSEs versus their power gated counterparts. This is done by studying how the leakage energy saved with power gating offsets the energy consumed by the extra transistors added to support it. It is not always beneficial to add sleep transistors when deciding between power-gating or just using clock-gating. We also study how the results and tradeoff change with voltage scaling.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Oklobdzija, V.G., Stojanovic, V.M., Markovic, D.M., Nedovic, N.M.: Digital System Clocking: High-Performance and Low-Power Aspects, 1st edn. Wiley IEEE-press (2003)

    Google Scholar 

  2. Oklobdzija, V.G., Krishnamurthy, R.K.: High-Performance Energy-Efficient Microprocessor Design (Series on Integrated Circuits and Systems), 1st edn. Springer, Heidelberg (2006)

    Book  Google Scholar 

  3. Stojanovic, V., Oklobdzija, V.: Comparative Analysis of Master-Slave Latches and Flip-Flops for High-Performance and Low-Power Systems. IEEE JSSC 34, 536–548 (1999)

    Google Scholar 

  4. Borkar, S.: Design Challenges of Technology Scaling. IEEE Micro 19(4), 23–29 (1999)

    Article  Google Scholar 

  5. Bernstein, K., Chuang, C.T., Joshi, R., Puri, R.: Design and CAD Challenges in Sub-90nm CMOS Technologies. In: ICCAD, pp. 129–136 (2003)

    Google Scholar 

  6. Kao, J., Chandrakasan, A.P.: MTCMOS Sequential Circuits. In: IEEE European Sold-State Circuits Conference (ESSCIRC), pp. 317–320 (2001)

    Google Scholar 

  7. Calhoun, B.H., Honore, F.A., Chandrakasan, A.P.: A Leakage Reduction Methodology for Distributed MTCMOS. IEEE JSSC 39(5), 818–826 (2004)

    Google Scholar 

  8. Powell, M., Yang, S., Falsafi, B., Roy, K., Vijaykumar, T.: Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories. In: IEEE International Symposium on Low-Power Electronics and Design (ISLPED), pp. 90–95 (2000)

    Google Scholar 

  9. Shigematsu, S., Mutoh, S., Matsuya, Y., Tanabe, Y., Yamada, J.: A 1-V High-Speed MTCMOS Circuit Scheme for Power-Down Application Circuits. IEEE JSSC 32(6), 861–869 (1997)

    Google Scholar 

  10. Calhoun, B.H., Chandrakasan, A.P.: Standby Power Reduction Using Dynamic Voltage Scaling and Canary Flip-Flop Structures. IEEE JSSC 39(9), 1504–1511 (2004)

    Google Scholar 

  11. Heo, S., Barr, K., Asanovic, K.: Reducing Power Density through Activity Migration. In: ISLPED (2003)

    Google Scholar 

  12. Gerosa, G., et al.: A 2.2W, 80MHz Superscalar RISC Microprocessor. IEEE JSSC 29(12), 1440–1454 (1994)

    Google Scholar 

  13. Markovic, D., Tschanz, J.: Transmission-Gate Based Flip-Flop, US Patent 6,642, 765, Issued (November 2003)

    Google Scholar 

  14. Giacomotto, C., Nedovic, N., Oklobdzija, V.G.: The Effect of the System Specification on the Optimal Selection of Clocked Storage Elements. IEEE JSSC 42(6), 1392–1404 (2007)

    Google Scholar 

  15. Nedovic, N., Aleksic, M., Oklobdzija, V.G.: Conditional Techniques for Low Power Consumption Flip-Flops. In: 8th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp. 803–803 (2001)

    Google Scholar 

  16. Nedovic, N., Aleksic, M., Oklobdzija, V.G.: Conditional Pre-Charge Techniques for Power-Efficient Dual-Edge Clocking. In: IEEE International Symposium on Low-Power Electronics and Design (ISLPED), pp. 56–59 (2002)

    Google Scholar 

  17. U.C.B.D. Group. BSIM4.2.1 MOSFET Model: User’s Manual. Dept. of EECS, Univ. of California, Berkeley, CA 94720, USA (2002)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2009 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Giacomotto, C., Singh, M., Vratonjic, M., Oklobdzija, V.G. (2009). Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements. In: Svensson, L., Monteiro, J. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2008. Lecture Notes in Computer Science, vol 5349. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-95948-9_27

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-95948-9_27

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-95947-2

  • Online ISBN: 978-3-540-95948-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics