Skip to main content

Pipelined Microprocessors Optimization and Debugging

  • Conference paper
Reconfigurable Computing: Architectures, Tools and Applications (ARC 2010)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 5992))

Included in the following conference series:

Abstract

This paper proposes a methodology based on formal correspondence checking to automatically debug and also optimize pipelined microprocessors including reconfigurable processors with timing error recovery techniques. Since formal verification analyzes the design exhaustively, it may give good insights into not only debugging but also optimization of hardware designs with complicated control structures. The paper gives two main contributions, 1) modeling and formal verification of pipelined microprocessors including reconfigurable processors with timing error recovery techniques and 2) an approach to debug and optimize the implementation using the UCLID system as a correspondence checker. Using our method, the debug time can be reduced significantly. In addition, the implementation can be optimized by removing unnecessary signals and components while the correctness of the design is guaranteed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Jhala, R., McMillan, K.: Microarchitecture Verification by Compositional Model Checking. In: Berry, G., Comon, H., Finkel, A. (eds.) CAV 2001. LNCS, vol. 2102, pp. 396–410. Springer, Heidelberg (2001)

    Chapter  Google Scholar 

  2. Arons, T., Pnueli, A.: A Comparison of Two Verification Methods for Speculative Instruction Execution. In: Schwartzbach, M.I., Graf, S. (eds.) TACAS 2000. LNCS, vol. 1785, p. 487. Springer, Heidelberg (2000)

    Chapter  Google Scholar 

  3. Hosabettu, R., Gopalakrishnan, G., Srivas, M.: Verifying Advanced Microarchitectures that Support Speculation and Exceptions. In: Emerson, E.A., Sistla, A.P. (eds.) CAV 2000. LNCS, vol. 1855. Springer, Heidelberg (2000)

    Chapter  Google Scholar 

  4. Burch, J., Dill, D.: Automatic Verification of Pipelined microprocessor Control. In: Dill, D.L. (ed.) CAV 1994. LNCS, vol. 818, pp. 68–80. Springer, Heidelberg (1994)

    Chapter  Google Scholar 

  5. Velev, M.N.: Using Rewriting Rules and Positive Equality to Formally Verify Wide-issue Out-of-order Microprocessors with a Reorder Buffer. In: Design, Automation and Test in Europe (DATE), pp. 28–35 (2002)

    Google Scholar 

  6. Bryant, R.E., Lahiri, S.K., Seshia, S.A.: Modeling and Verifying Systems using a Logic of Counter Arithmetic with Lambda Expressions and Uninterpreted Functions. In: Brinksma, E., Larsen, K.G. (eds.) CAV 2002. LNCS, vol. 2404, p. 78. Springer, Heidelberg (2002)

    Chapter  Google Scholar 

  7. Das, S., Tokunaga, C., Pant, S., Ma, W.-H., Kalaiselvan, S., Lai, K., Bull, D., Blaauw, D.T.: RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance. IEEE Journal of Solid-State Circuits 44(1), 32–48 (2009)

    Article  Google Scholar 

  8. Smith, A., Veneris, A., Fahim Ali, M., Viglas, A.: Fault Diagnosis and Logic Debugging using Boolean Satisfiability. IEEE Trans. on Computer-aided Design of Integrated Circuits and Systems 24(10), 1606–1621 (2005)

    Article  Google Scholar 

  9. Mangassarian, H., Veneris, A., Safarpour, S., Benedetti, M., Smith, D.: A Performance-Driven QBF-Based Iterative Logic Array Representation with Applications to Verification, Debug and Test. In: Int’l Conference on Computer-Aided Design (ICCAD), pp. 240–245 (2007)

    Google Scholar 

  10. Sulflow, A., Wille, R., Fey, G., Drechsler, R.: Evaluation of Cardinality Constraints on SMT-based Debugging. In: 39th International Symposium on Multiple-Valued Logic (ISMVL), pp. 298–303 (2009)

    Google Scholar 

  11. http://uclid.eecs.berkeley.edu//v2ucl

  12. Mirzaeian, S., Zheng, F., Cheng, K.-T.: RTL Error Diagnosis Using a Word Level SAT-Solver. In: International Test Conference (ITC), pp. 1–8 (2008)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Alizadeh, B., Gharehbaghi, A.M., Fujita, M. (2010). Pipelined Microprocessors Optimization and Debugging. In: Sirisuk, P., Morgan, F., El-Ghazawi, T., Amano, H. (eds) Reconfigurable Computing: Architectures, Tools and Applications. ARC 2010. Lecture Notes in Computer Science, vol 5992. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-12133-3_45

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-12133-3_45

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-12132-6

  • Online ISBN: 978-3-642-12133-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics