Skip to main content

White-Box Current Source Modeling Including Parameter Variation and Its Application in Timing Simulation

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation (PATMOS 2010)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6448))

Abstract

This paper presents a novel method for generating current source models (CSMs) for logic cells that efficiently captures the influences of parameter variation and supply voltage drops. The characterization exploits topological information from the transistor netlist resulting in typically 80x faster CSM library generation. The parametric CSMs have been integrated into a commercial FastSPICE simulator to further accelerate path-based timing analysis with transistor level accuracy.Without loss of accuracy, simulation times were reduced by 4x to 98x.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Composite current source (December 2006), http://www.synopsys.com/products/solutions/galaxy/ccs/cc_source.html

  2. Ecsm - effective current source model (2007), http://www.cadence.com/Alliances/languages/Pages/ecsm.aspx

  3. Amin, C., Kashyap, C., Menezes, N., Killpack, K., Chiprout, E.: A multi-port current source model for multiple-input switching effects in cmos library cells. In: ACM/IEEE Design Automation Conference (DAC), pp. 247–252 (2006)

    Google Scholar 

  4. Cadence. UltraSim User’s Manual (June 2003)

    Google Scholar 

  5. Cadence. Compiled-Model Interface Reference (November 2004)

    Google Scholar 

  6. Chopra, K., Kashyap, C., Su, H., Blaauw, D.: Current source driver model synthesis and worst-case alignment for accurate timing and noise analysis. In: ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, pp. 45–50 (2006)

    Google Scholar 

  7. Croix, J., Wong, M.: Blade and razor: cell and interconnect delay analysis using current-based models. In: ACM/IEEE Design Automation Conference (DAC), pp. 386–389 (June 2003)

    Google Scholar 

  8. Devgan, A., Rohrer, R.A.: Aces: A transient simulation strategy for integrated circuits. In: IEEE International Conference on Computer Design (ICCD), pp. 357–360 (1993)

    Google Scholar 

  9. Fatemi, H., Nazarian, S., Pedram, M.: Statistical logic cell delay analysis using a current-based model. In: ACM/IEEE Design Automation Conference (DAC), pp. 253–256 (July 2006)

    Google Scholar 

  10. Feldmann, P., Abbaspour, S., Sinha, D., Schaeffer, G., Banerji, R., Gupta, H.: Driver waveform computation for timing analysis with multiple voltage threshold driver models. In: ACM/IEEE Design Automation Conference (DAC), pp. 425–428 (2008)

    Google Scholar 

  11. Gandikota, R., Chopra, K., Blaauw, D., Sylvester, D.: Victim alignment in crosstalk-aware timing analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 29(2), 261–274 (2010)

    Article  Google Scholar 

  12. Goel, A., Vrudhula, S.: Current source based standard cell model for accurate signal integrity and timing analysis. In: Design, Automation and Test in Europe (DATE), pp. 574–579 (2008)

    Google Scholar 

  13. Goel, A., Vrudhula, S.: Statistical waveform and current source based standard cell models for accurate timing analysis. In: ACM/IEEE Design Automation Conference (DAC), pp. 227–230 (June 2008)

    Google Scholar 

  14. Jain, A., Blaauw, D., Zolotov, V.: Accurate delay computation for noisy waveform shapes. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 947–953 (2005)

    Google Scholar 

  15. Kashyap, C., Amin, C., Menezes, N., Chiprout, E.: A nonlinear cell macromodel for digital applications. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 678–685 (2007)

    Google Scholar 

  16. Keller, I., Tseng, K., Verghese, N.: A robust cell-level crosstalk delay change analysis. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 147–154 (2004)

    Google Scholar 

  17. Knoth, C., Kleeberger, V.B., Nordholz, P., Schlichtmann, U.: Fast and Waveform Independent Characterization of Current Source Models. In: IEEE/VIUF International Workshop on Behavioral Modeling and Simulation (BMAS), pp. 90–95 (September 2009)

    Google Scholar 

  18. Li, P., Feng, Z., Acar, E.: Characterizing multistage nonlinear drivers and variability for accurate timing and noise analysis. IEEE Transactions on VLSI Systems 15(11), 1205–1214 (2007)

    Article  Google Scholar 

  19. Liu, B., Kahng, A.B.: Statistical gate level simulation via voltage controlled current source models. In: IEEE International Behavioral Modeling and Simulation Workshop (September 2006)

    Google Scholar 

  20. Mitev, A., Ganesan, D., Shanmugasundaram, D., Cao, Y., Wang, J.M.: A robust finite-point based gate model considering process variations. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 692–697 (2007)

    Google Scholar 

  21. Nassif, S., Li, Z.: A more effective c\(_{\mbox{eff}}\). In: IEEE International Symposium on Quality Electronic Design (ISQED), pp. 648–653 (2005)

    Google Scholar 

  22. Raja, S., Varadi, F., Becer, M., Geada, J.: Transistor level gate modeling for accurate and fast timing, noise, and power analysis. In: ACM (ed.) ACM/IEEE Design Automation Conference (DAC), Anaheim, California, USA, pp. 456–461 (June 2008)

    Google Scholar 

  23. Venkataraman, G., Feng, Z., Hu, J., Li, P.: Combinatorial algorithms for fast clock mesh optimization. IEEE Transactions on VLSI Systems 18(1), 131–141 (2010)

    Article  Google Scholar 

  24. Wang, X., Kasnavi, A., Levy, H.: An Efficient Method for Fast Delay and SI Calculation Using Current Source Models. In: IEEE International Symposium on Quality Electronic Design, Washington, DC, USA, pp. 57–61. IEEE Computer Society, Los Alamitos (2008)

    Google Scholar 

  25. Zolotov, V., Xiong, J., Abbaspour, S., Hathaway, D.J., Visweswariah, C.: Compact modeling of variational waveforms. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Piscataway, NJ, USA, pp. 705–712. IEEE Press, Los Alamitos (2007)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Knoth, C., Eichwald, I., Nordholz, P., Schlichtmann, U. (2011). White-Box Current Source Modeling Including Parameter Variation and Its Application in Timing Simulation. In: van Leuken, R., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2010. Lecture Notes in Computer Science, vol 6448. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-17752-1_20

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-17752-1_20

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-17751-4

  • Online ISBN: 978-3-642-17752-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics