Skip to main content

Advances in Simultaneous Multithreading Testcase Generation Methods

  • Conference paper
Hardware and Software: Verification and Testing (HVC 2010)

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 6504))

Included in the following conference series:

Abstract

Many modern microprocessor architectures utilize simultaneous multithreading (SMT) for increased performance. This trend is exemplified in IBM’s Power series of high-end microprocessors which steadily increased the number of threads in a system in its POWER5, POWER6 and POWER7 designs. In this paper we discuss the steady increase in functional verification complexity introduced by each of these designs and the corresponding improvements to SMT verification methods that were necessary in order to cope with the growing verification challenge. We review three different verification technologies which were specifically developed to target SMT aspects of processor designs, and compare their relative advantages and drawbacks. Our focus is on the novel Thread Irritation technique – we demonstrate its effectiveness in finding high quality SMT bugs early in the verification cycle, and show how it was adopted to the post-silicon platform.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Sinharoy, B., Kalla, R.N., Tendler, J.M., Eickemeyer, R.J., Joyner, J.B.: POWER5 system microarchitecture

    Google Scholar 

  2. Le, H.Q., Starke, W.J., Fields, J.S., O’Connell, F.P., Nguyen, D.Q., Ronchetti, B.J., Schwarz, W.M., Vaden, M.T.: IBM POWER6 microarchitecture. IBM Journal of Research & Development 51(6) (November 2007)

    Google Scholar 

  3. Burns, D.: Pre-Silicon Validation of Hyper-Threading technology. Intel Technology Journal, 16-21 (February 2002)

    Google Scholar 

  4. Kumar, J.: UltraSPARC Processor Emulation Verification: Getting HW/SW right the first time. DesignCon (2007), http://www.cdnusers.org/community/incisive/vtp_designcon2007_JaiKumar.pdf

  5. EE-Times: POWER7, http://www.eetimes.com/news/semi/showArticle.jhtml?articleID=219400955J

  6. Adir, A., Almog, E., Fournier, L., Marcus, E., Rimon, M., Vinov, M., Ziv, A.: Genesys-Pro: Innovations in Test Program Generation for Functional Processor Verification. IEEE Design & Test of Computers, 84–93 (March-April 2004)

    Google Scholar 

  7. Adir, A., Shurek, G.: Generating Concurrent Test-Programs with Collisions for Multiprocessor Verification. In: Seventh IEEE International High-Level Design Validation and Test Workshop (HLDVT 2002), pp. 77–82 (2002)

    Google Scholar 

  8. Victor, D.W., Ludden, J.M., Peterson, R.D., Nelson, B.S., Sharp, W.K.: Functional verification of the POWER5 microprocessor and the POWER5 multiprocessor systems. IBM Journal of Research and Development 49(4/5), 541–553 (2005)

    Article  Google Scholar 

  9. Halfhill, T.R.: Intel’s Tiny Atom – New Low-Power Micro-architecture Rejuvenates the Embedded x86. Microprocessor Report 22 Archive (April 4, 2008)

    Google Scholar 

  10. Behm, M., Ludden, J., Lichtenstein, Y., Rimon, M., Vinov, M.: Industrial Experience with Test Generation Languages for Processor Verification. In: 41st Conference on Design Automation Conference (DAC 2004), pp. 36–40 (2004)

    Google Scholar 

  11. Kahle, J.A., Day, M.N., Hofstee, H.P., Johns, C.R., Maeurer, T.R., Shippy, D.: Introduction to the Cell multiprocessor. IBM Journal of Research and Development 49(4/5), 589–604 (2005)

    Article  Google Scholar 

  12. Mitra, S., Seshia, S.A., Nicolici, N.: Post-Silicon Validation Opportunities, Challenges and Recent Advances. In: 47st Conference on Design Automation Conference (DAC 2010), pp. 12–17 (2010)

    Google Scholar 

  13. Nahir, A.: Multithreading post-silicon exerciser. In: Haifa Verification Conference (HVC 2008), https://www.research.ibm.com/haifa/conferences/hvc2008/present/ThreadmillHVC2008.pdf

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Ludden, J.M., Rimon, M., Hickerson, B.G., Adir, A. (2011). Advances in Simultaneous Multithreading Testcase Generation Methods. In: Barner, S., Harris, I., Kroening, D., Raz, O. (eds) Hardware and Software: Verification and Testing. HVC 2010. Lecture Notes in Computer Science, vol 6504. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-19583-9_15

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-19583-9_15

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-19582-2

  • Online ISBN: 978-3-642-19583-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics