Skip to main content

Power Profiling-Guided Floorplanner for Thermal Optimization in 3D Multiprocessor Architectures

  • Conference paper
Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation (PATMOS 2011)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 6951))

Abstract

3D integration has become one of the most promising techniques for the integration future multi-core processors, since it improves performance and reduces power consumption by decreasing global wire length. However, 3D integration causes serious thermal problems since the closer proximity of heat generating dies makes existing thermal hotspots more severe. Thermal-aware floorplanners can play an important role to improve the thermal profile, but they have failed in considering the dynamic power profiles of the applications. This paper proposes a novel thermal-aware floorplanner guided by the power profiling of a set of benchmarks that are representative of the application scope. The results show how our approach outperforms the thermal metrics as compared with the worst-case scenario usually considered in ”traditional” thermal-aware floorplanners.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Electrothermal monte carlo modelling of submicron hfets (2004), http://www.nanofolio.org/research/paper03.php

  2. Intel tries to keep it cool (2004), http://www.pcworld.idg.com.au/article/108386/inteltrieskeepitscool

  3. Adya, S., et al.: Fixed-outline floorplanning: enabling hierarchical design. IEEE Transactions on VLSI Systems 11(6), 1120–1135 (2003)

    Article  Google Scholar 

  4. ARM: http://www.arm.com/products/processors/cortex-a/cortex-a9.php

  5. Berntsson, J., Tang, M.: A slicing structure representation for the multi-layer floorplan layout problem. In: Raidl, G.R., Cagnoni, S., Branke, J., Corne, D.W., Drechsler, R., Jin, Y., Johnson, C.G., Machado, P., Marchiori, E., Rothlauf, F., Smith, G.D., Squillero, G. (eds.) EvoWorkshops 2004. LNCS, vol. 3005, pp. 188–197. Springer, Heidelberg (2004)

    Chapter  Google Scholar 

  6. Borkar, S.: Design challenges of technology scaling. IEEE Micro 19(4) (1999)

    Google Scholar 

  7. Chen, G., et al.: Partition-driven standard cell thermal placement (2003)

    Google Scholar 

  8. Chu, C., et al.: A matrix synthesis approach to thermal placement. IEEE Transactions on CADICS 17(11), 1166–1174 (1998)

    Article  Google Scholar 

  9. Coello, C., et al.: Evolutionary Algorithms for Solving Multi-Objective Problems. Kluwer, Dordrecht (2002)

    Book  MATH  Google Scholar 

  10. Cong, J., et al.: A thermal-driven floorplanning algorithm for 3D ICs (2004)

    Google Scholar 

  11. Cuesta, D., Risco, J.L., Ayala, J.L., Atienza, D.: 3D Thermal-Aware Floorplanner for Many-Core Single-Chip Systems. In: IEEE Latin-American Test Workshop (2011)

    Google Scholar 

  12. Deb, K., et al.: A Fast and Elitist Multiobjective Genetic Algorithm: NSGA-II. IEEE Transactions on Evolutionary Computation 6(2), 182–197 (2002)

    Article  Google Scholar 

  13. Ekpanyapong, M., et al: Thermal-aware 3D microarchitectural floorplanning. Tech. rep., Georgia Institute of Technology Center (2004)

    Google Scholar 

  14. Han, Y., et al.: Simulated annealing based temperature aware floorplanning (2007)

    Google Scholar 

  15. Healy, M., et al.: Multiobjective microarchitectural floorplanning for 2D and 3D ICs. IEEE Transactions on CADICS 26(1), 38–52 (2007)

    Article  MathSciNet  Google Scholar 

  16. HPlabs: http://www.hpl.hp.com/research/cacti/

  17. Hung, W.L., et al.: Thermal-aware floorplanning using genetic algorithms. In: ISQED, pp. 634–639 (March 2005)

    Google Scholar 

  18. IBM, C.D.C.: Complex soc design (2009)

    Google Scholar 

  19. Imperas: http://www.ovpworld.org

  20. Iqbal, S., et al.: ParMiBench - an open-source benchmark for embedded multiprocessor systems. CAL 9(2), 45–48 (2010)

    Google Scholar 

  21. Li, X., et al.: A novel thermal optimization flow using incremental floorplanning for 3D ICs. In: ASPDAC, pp. 347–352. IEEE Press, Los Alamitos (2009)

    Google Scholar 

  22. Li, Y., et al.: Temperature aware floorplanning via geometry programming. In: IEEE International Conference on CSE Workshops, pp. 295–298 (July 2008)

    Google Scholar 

  23. Liu, Y., et al.: Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: DATE, pp. 1526–1531 (2007)

    Google Scholar 

  24. OpenSPARC (2007), http://www.opensparc.net/pubs/preszo/07/n2isscc.pdf

  25. Paci, G., et al.: Exploring temperature-aware design in low-power MPSoCs. International Journal of Embedded Systems 3(1), 43–51 (2007)

    Article  Google Scholar 

  26. Sankaranarayanan, K., et al.: A case for thermal-aware floorplanning at the microarchitectural level. JILP 7(1), 8–16 (2005)

    Google Scholar 

  27. Singhal, L., et al.: Statistical power profile correlation for realistic thermal estimation. In: ASP-DAC, pp. 67–70. IEEE Computer Society Press, Los Alamitos (2008)

    Google Scholar 

  28. Tang, M., et al.: A memetic algorithm for VLSI floorplanning. IEEE Transactions on Systems, Man, and Cybernetics, Part B 37(1), 62–69 (2007)

    Article  Google Scholar 

Download references

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Arnaldo, I., Risco-Martín, J.L., Ayala, J.L., Hidalgo, J.I. (2011). Power Profiling-Guided Floorplanner for Thermal Optimization in 3D Multiprocessor Architectures. In: Ayala, J.L., García-Cámara, B., Prieto, M., Ruggiero, M., Sicard, G. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation. PATMOS 2011. Lecture Notes in Computer Science, vol 6951. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-24154-3_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-24154-3_2

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-24153-6

  • Online ISBN: 978-3-642-24154-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics