Skip to main content

Integrated Circuit Floorplanning by Using an Analytical Algorithm

  • Conference paper
Convergence and Hybrid Information Technology (ICHIT 2012)

Part of the book series: Lecture Notes in Computer Science ((LNISA,volume 7425))

Included in the following conference series:

Abstract

Recently, floorplanning problems become more complex since they need to consider standard cells, mixed size blocks, and restricted placeable areas. Analytical method gets popular for placement during integrated circuit design, owing to its good performance. We analyzed analytical method and applied it to solve floorplanning problems. Specifically, we developed a new step size optimization method for conjugate gradient minimization and a new legalization algorithm for fixed-boundary floorplanning. Experimental results show that our algorithm reduces wirelength cost by 4.5% more than that of well-known previous works.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Adya, S.N., Markov, I.L.: Fixed-outline floorplanning: enabling hierarchical design. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 11(6), 1120–1135 (2003)

    Article  Google Scholar 

  2. Murata, H., Fujiyoshi, K., Nakatake, S., Kajitani, Y.: Rectangle-packing-based module placement, Computer-Aided Design, 1995. In: 1995 IEEE/ACM International Conference on ICCAD 1995. Digest of Technical Papers, pp. 472–479, 5–9 (1995)

    Google Scholar 

  3. Nakatake, S., Fujiyoshi, K., Murata, H., Kajitani, Y.: Module packing based on the BSG-structure and IC layout applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 17(6), 519–530 (1998)

    Article  Google Scholar 

  4. Hong, X.L., Dong, S.Q., Huang, G., Ma, Y.C., Cai, Y., Cheng, C.K., Gu, J.: A non-slicing floorplanning algorithm using corner block list topological representation. In: The 2000 IEEE Asia-Pacific Conference on Circuits and Systems. IEEE APCCAS 2000, pp. 833–836 (2000)

    Google Scholar 

  5. Chang, Y.C., Chang, Y.W., Wu, G.M., Wu, S.W.: B*-trees: a new representation for non-slicing floorplans. In: Proceedings of 37th Design Automation Conference, pp. 458–463 (2000)

    Google Scholar 

  6. Lin, J.M., Chang, Y.W.: TCG: a transitive closure graph-based representation for non-slicing floorplans. In: Proceedings of Design Automation Conference, pp. 764–769 (2001)

    Google Scholar 

  7. William, C.N., Ross, D., Lu, S.: Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer. U.S. Patent 6 301 693, October 9 (2001)

    Google Scholar 

  8. Chan, T., Cong, J., Sze, K.: Multilevel generalized force-directed method for circuit placement. In: Proc. ACM Int. Phys. Des., pp. 185–192 (April 2005)

    Google Scholar 

  9. Chen, T.C., Jiang, Z.W., Hsu, T.C., Chen, H.C., Chang, Y.W.: NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27(7), 1228–1240 (2008)

    Article  Google Scholar 

  10. Cong, J., Luo, G., Radke, E.: Highly Efficient Gradient Computation for Density-Constrained Analytical Placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27(12), 2133–2144 (2008)

    Article  Google Scholar 

  11. Cong, J., Romesis, M., Shinned, J.R.: Fast floorplanning by look-ahead enabled recursive bipartitioning. In: Design Automation Conference Proceedings of the ASP-DAC 2005. Asia and South Pacific, January 18-21, vol. 2, pp. 1119–1122 (2005)

    Google Scholar 

  12. Liu, R., Dong, S.Q., Hong, X.L., Kajitani, Y.: Fixed-outline floorplanning with constraints through instance augmentation. In: IEEE International Symposium on Circuits and Systems, ISCAS 2005, May 23-26, vol. 2, pp. 1883–1886 (2005)

    Google Scholar 

  13. Adya, S.N., Chaturvedi, S., Roy, J.A., Papa, D.A., Markov, I.L.: Unification of partitioning, placement and floorplanning. In: IEEE/ACM International Conference on Computer Aided Design, ICCAD 2004, November 7-11, pp. 550–557 (2004)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2012 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Lim, I., Song, H., Shin, H. (2012). Integrated Circuit Floorplanning by Using an Analytical Algorithm. In: Lee, G., Howard, D., Kang, J.J., Ślęzak, D. (eds) Convergence and Hybrid Information Technology. ICHIT 2012. Lecture Notes in Computer Science, vol 7425. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-32645-5_51

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-32645-5_51

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-32644-8

  • Online ISBN: 978-3-642-32645-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics