Skip to main content

DRV-Fingerprinting: Using Data Retention Voltage of SRAM Cells for Chip Identification

  • Conference paper
Book cover Radio Frequency Identification. Security and Privacy Issues (RFIDSec 2012)

Abstract

Physical unclonable functions (PUFs) produce outputs that are a function of minute random physical variations. Promoted for low-cost authentication and resistance to counterfeiting, many varieties of PUFs have been used to enhance the security and privacy of RFID tags. To different extents, applications for both identification and authentication require a PUF to produce a consistent output over time. As the sensing of minute variations is a fundamentally noisy process, much effort is spent on error correction of PUF outputs. We propose a new variant of PUF that uses well-understood properties of common memory cells as a fingerprint. Our method of fingerprinting SRAM cells by their data retention voltage improves the success rate of identification by 28% over fingerprints based on power-up state.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 49.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Bhargava, M., Cakir, C., Mai, K.: Reliability enhancement of bi-stable PUFs in 65nm bulk CMOS. In: International Symposium on Hardware-Oriented Security and Trust (2012)

    Google Scholar 

  2. Cabe, A.C., Qi, Z., Stan, M.R.: Stacking SRAM banks for ultra low power standby mode operation. In: Design Automation Conference (June 2010)

    Google Scholar 

  3. Flautner, K., Kim, N., Martin, S.: Drowsy caches: simple techniques for reducing leakage power. In: International Symposium on Computer Architecture (2002)

    Google Scholar 

  4. Gassend, B.: Physical Random Functions. Master’s thesis. MIT, USA (2003)

    Google Scholar 

  5. Gassend, B., Clarke, D., Van Dijk, M.: Silicon physical random functions. In: Proceedings of the IEEE Computer and Communications Society (2002)

    Google Scholar 

  6. Guajardo, J., Kumar, S.S., Schrijen, G.-J., Tuyls, P.: FPGA Intrinsic PUFs and Their Use for IP Protection. In: Paillier, P., Verbauwhede, I. (eds.) CHES 2007. LNCS, vol. 4727, pp. 63–80. Springer, Heidelberg (2007)

    Chapter  Google Scholar 

  7. Hiller, M., Merli, D., Stumpf, F., Sigl, G.: Complementary IBS: Application specific error correction for PUFs. In: International Symposium on Hardware-Oriented Security and Trust (2012)

    Google Scholar 

  8. Holcomb, D.E., Burleson, W.P., Fu, K.: Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Transactions on Computers (2009)

    Google Scholar 

  9. Lee, J., Lim, D., Gassend, B., Suh, G., van Dijk, M., Devadas, S.: A technique to build a secret key in integrated circuits for identification and authentication applications. In: 2004 Symposium on VLSI Circuits. Digest of Technical Papers, pp. 176–179 (June 2004)

    Google Scholar 

  10. Lofstrom, K., Daasch, W., Taylor, D.: IC identification circuit using device mismatch. In: IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp. 372–373 (2000)

    Google Scholar 

  11. Maes, R., Tuyls, P., Verbauwhede, I.: Low-Overhead Implementation of a Soft Decision Helper Data Algorithm for SRAM PUFs. In: Clavier, C., Gaj, K. (eds.) CHES 2009. LNCS, vol. 5747, pp. 332–347. Springer, Heidelberg (2009)

    Chapter  Google Scholar 

  12. Nourivand, A., Al-Khalili, A.J., Savaria, Y.: Postsilicon Tuning of Standby Supply Voltage in SRAMs to Reduce Yield Losses Due to Parametric Data-Retention Failures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 1, 29–41 (2011)

    Google Scholar 

  13. Omega Engineering, I. OSXL450 Infrared Non-Contact Thermometer Manual

    Google Scholar 

  14. Prabhu, P., Akel, A., Grupp, L.M., Yu, W.-K.S., Edward Suh, G., Kan, E., Swanson, S.: Extracting Device Fingerprints from Flash Memory by Exploiting Physical Variations. In: McCune, J.M., Balacheff, B., Perrig, A., Sadeghi, A.-R., Sasse, A., Beres, Y. (eds.) Trust 2011. LNCS, vol. 6740, pp. 188–201. Springer, Heidelberg (2011)

    Chapter  Google Scholar 

  15. Qin, H., Cao, Y., Markovic, D., Vladimirescu, A., Rabaey, J.: SRAM leakage suppression by minimizing standby supply voltage. In: 5th International Symposium on Quality Electronic Design, pp. 55–60 (2004)

    Google Scholar 

  16. Ransford, B., Clark, S., Salajegheh, M., Fu, K.: Getting things done on computational RFIDs with energy-aware checkpointing and voltage-aware scheduling. In: USENIX Workshop on Power Aware Computing and Systems (HotPower) (December 2008)

    Google Scholar 

  17. Sadeghi, A.-R., Visconti, I., Wachsmann, C.: Enhancing RFID Security and Privacy by Physically Unclonable Functions. In: Information Security and Cryptography, pp. 281–307. Springer (September 2010)

    Google Scholar 

  18. Saxena, N., Voris, J.: We can remember it for you wholesale: Implications of data remanence on the use of RAM for true random number generation on RFID tags. In: Proceedings of the Conference on RFID Security (2009)

    Google Scholar 

  19. Skorobogatov, S.: Low temperature data remanence in static RAM. Tech. Rep. UCAM-CL-TR-536, University of Cambridge Computer Laboratory (2002)

    Google Scholar 

  20. Su, Y., Holleman, J., Otis, B.: A digital 1.6 pj/bit chip identification circuit using process variations. IEEE Journal of Solid-State Circuits 43(1), 69–77 (2008)

    Article  Google Scholar 

  21. Suh, G., O’Donnell, C., Devadas, S.: AEGIS: a single-chip secure processor. IEEE Design & Test of Computers 24(6), 570–580 (2007)

    Article  Google Scholar 

  22. Sun Electronic Systems, I. Model EC1X Environmental Chamber User and Repair Manual (2011)

    Google Scholar 

  23. Tuyls, P., Batina, L.: RFID-Tags for Anti-counterfeiting. In: Pointcheval, D. (ed.) CT-RSA 2006. LNCS, vol. 3860, pp. 115–131. Springer, Heidelberg (2006)

    Chapter  Google Scholar 

  24. Van Herrewege, A., Katzenbeisser, S., Maes, R., Peeters, R., Sadeghi, A.-R., Verbauwhede, I., Wachsmann, C.: Reverse Fuzzy Extractors: Enabling Lightweight Mutual Authentication for PUF-Enabled RFIDs. In: Keromytis, A.D. (ed.) FC 2012. LNCS, vol. 7397, pp. 374–389. Springer, Heidelberg (2012)

    Chapter  Google Scholar 

  25. Wang, J., Calhoun, B.H.: Techniques to Extend Canary-Based Standby VDD Scaling for SRAMs to 45 nm and Beyond. IEEE Journal of Solid-State Circuits 43(11), 2514–2523 (2008)

    Article  Google Scholar 

  26. Yu, M.-D., Devadas, S.: Secure and Robust Error Correction for Physical Unclonable Functions. IEEE Design & Test of Computers 27(1), 48–65 (2010)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Holcomb, D.E., Rahmati, A., Salajegheh, M., Burleson, W.P., Fu, K. (2013). DRV-Fingerprinting: Using Data Retention Voltage of SRAM Cells for Chip Identification. In: Hoepman, JH., Verbauwhede, I. (eds) Radio Frequency Identification. Security and Privacy Issues. RFIDSec 2012. Lecture Notes in Computer Science, vol 7739. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36140-1_12

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36140-1_12

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36139-5

  • Online ISBN: 978-3-642-36140-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics