Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7606))

Abstract

Accurate timing analysis of digital integrated circuits is becoming harder to achieve with current and future CMOS technologies. The shrinking feature sizes lead to increasingly important local process variations (PV), making existing methods like corner-based static timing analysis (STA) yield overly pessimistic results. In this paper we propose a general purpose statistical circuit simulator for accurate timing analysis. A statistical simplified transistor model (SSTM) is used as the simulator’s building block, allowing accurate simulation of sequential circuits while fast statistical analysis is achieved by solving a system of random differential equations (RDE), thus avoiding time-consuming Monte Carlo simulations. The conducted experiments show the accurate calculation of crossing time statistical moments for several sequential cells using 45nm CMOS technology.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 49.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Hitchcock, R.B., Smith, G.L., Cheng, D.D.: Timing Analysis of Computer Hardware. IBM Journal of Research and Development, 100–105 (1982)

    Google Scholar 

  2. Hitchcock, R.B.: Timing Verification and the Timing Analysis program. In: Proc. of the DAC, pp. 594–604 (1982)

    Google Scholar 

  3. Blaauw, D., Chopra, K., Srivastava, A., Scheffer, L.: Statistical Timing Analysis: From Basic Principles to State the Art. IEEE Trans. on CAD of Integrated Circuits and Systems, 589–607 (2008)

    Google Scholar 

  4. Berkelaar, M.: Statistical Delay Calculation, a Linear Time Method. In: Proc. of TAU, pp. 15–24 (1997)

    Google Scholar 

  5. Visweswariah, C., Ravindran, K., Kalafala, K., Walker, S.G., Narayan, S.: First-Order Incremental Block-Based Statistical Timing Analysis. In: Proc. of the DAC, pp. 331–336 (2004)

    Google Scholar 

  6. Agarwal, A., Blaauw, D., Zolotov, V.: Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. In: Proc. of the IEEE/ACM ICCAD, pp. 900–907 (2003)

    Google Scholar 

  7. Croix, J.F., Wong, D.F.: Blade and Razor: Cell and Interconnect Delay Analysis Using Current-Based Models. In: Proc. of the DAC, pp. 386–389 (2003)

    Google Scholar 

  8. Nazarian, S., Fatemi, H., Pedram, M.: Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling. IEEE Trans. Very Large Scale Integrated Systems, 92–103 (2011)

    Google Scholar 

  9. Fatemi, H., Nazarian, S., Pedram, M.: Statistical Logic Cell Delay Analysis Using a Current-based Model. In: Proc. of the DAC, pp. 253–256 (2006)

    Google Scholar 

  10. Salman, E., Dasdan, A., Taraporevala, F., Kucukcakar, K., Friedman, E.G.: Exploiting Setup–Hold-Time Interdependence in Static Timing Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems, 1114–1125 (2007)

    Google Scholar 

  11. Oh, N., Ding, L., Kasnavi, A.: Fast Sequential Cell Noise Immunity Characterization Using Meta-stable Point of Feedback Loop. In: Proc. of the ISQED, pp. 153–159 (2006)

    Google Scholar 

  12. Amin, C., Kashyap, C., Menezes, N., Killpack, K., Chiprout, E.: A Multi-port Current Source Model for Multiple-Input Switching Effects in CMOS Library Cells. In: Proc. of the DAC, pp. 247–252 (2006)

    Google Scholar 

  13. Tang, Q., Zjajo, A., Berkelaar, M., van der Meijs, N.: RDE-Based Transistor-Level Gate Simulation for Statistical Static Timing Analysis. In: Proc. of the DAC, pp. 787–792 (2010)

    Google Scholar 

  14. Tang, Q., Zjajo, A., Berkelaar, M., van der Meijs, N.: Transistor-level gate model based statistical timing analysis considering correlations. In: DATE, pp. 917–922 (2012)

    Google Scholar 

  15. UC Berkeley. BSIM4 MOSFET Model (2003), http://www-device.eecs.berkeley.edu/bsim/?page=BSIM4

  16. Nangate 45nm Open Cell Library (2009), http://www.nangate.com/?page_id=22

  17. Ho, C.W., Ruehli, A., Brennan, P.: The Modified Nodal Approach to Network Analysis. IEEE Transactions on Circuits and Systems, 504–509 (1975)

    Google Scholar 

  18. Najm, F.N.: Circuit Simulation. Wiley-IEEE Press (2010)

    Google Scholar 

  19. Soong, T.T.: Random Differential Equations in Science and Engineering. Academic Press, New York (1973)

    MATH  Google Scholar 

  20. Tang, Q.: Personal communication

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Rodríguez, J., Tang, Q., Zjajo, A., Berkelaar, M., van der Meijs, N. (2013). Direct Statistical Simulation of Timing Properties in Sequential Circuits. In: Ayala, J.L., Shang, D., Yakovlev, A. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2012. Lecture Notes in Computer Science, vol 7606. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36157-9_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36157-9_14

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36156-2

  • Online ISBN: 978-3-642-36157-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics