Skip to main content

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 7606))

Abstract

This paper presents a Dynamic Power Management (DPM) framework based on reinforcement learning (RL) technique which aims to save power in an Energy-Managed Computer (EMC) system with self power-managed components. The proposed online adaptive DPM technique consists of two layers: component-level and system–level global power manager (GPM). The component-level PM policy is pre-specified and fixed whereas the system-level global PM employs temporal difference learning on Semi-Markov Decision Process (SMDP) for model-free RL, and it is specifically optimized for a multi-type application framework. Experiments show that that the proposed HPM scheme enhances power savings considerably while maintaining a good performance level. In comparison with other reference systems, the proposed RL DPM approach performs well under various workloads, can simultaneously consider power and performance and achieves a wide and deep power-performance tradeoff curves.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 49.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Benini, L., Bogliolo, A., De Micheli, G.: A survey of design techniques for system level dynamic power management. IEEE Trans. on VLSI Systems 8(3), 299–316 (2000)

    Article  Google Scholar 

  2. Srivastava, M., Chandrakasan, A., Brodersen, R.: Predictive system shutdown and other architectural techniques for energy efficient programmable computation. IEEE Trans. on VLSI (1996)

    Google Scholar 

  3. Hwang, C.H., Wu, A.C.: A predictive system shutdown method for energy saving of event-driven computation. In: ICCAD 1997 (1997)

    Google Scholar 

  4. Benini, L., Paleologo, G., Bogliolo, A., De Micheli, G.: Policy optimization for dynamic power management. IEEE Trans. on CAD 18, 813–833 (1999)

    Google Scholar 

  5. Qiu, Q., Pedram, M.: Dynamic Power Management Based on Continuous-Time Markov Decision Processes. In: DAC 1999 (1999)

    Google Scholar 

  6. Simunic, T., Benini, L., Glynn, P., De Micheli, G.: Event-driven power management. IEEE Trans. on CAD (2001)

    Google Scholar 

  7. Jung, H., Pedram, M.: Dynamic power management under uncertain information. In: DATE 2007, pp. 1060–1065 (April 2007)

    Google Scholar 

  8. Dhiman, G., Simunic Rosing, T.: Dynamic power management using machine learning. In: ICCAD 2006, pp. 747–754 (November 2006)

    Google Scholar 

  9. Tan, Y., Liu, W., Qiu, Q.: Adaptive Power Management Using Reinforcement Learning. In: ICCAD 2009, pp. 461–467 (November 2009)

    Google Scholar 

  10. Wang, Y., Xie, Q., Ammari, A.C., Pedram, M.: Deriving a near-optimal power management policy using model-free reinforcement learning and Bayesian classification. In: DAC 2011, pp. 875–878 (June 2011)

    Google Scholar 

  11. Bradtke, S., Duff, M.: Reinforcement learning methods for continuous-time Markov decision problems. In: Advances in Neural Information Processing Systems 7, pp. 393–400. MIT Press (1995)

    Google Scholar 

  12. Bishop, C.M.: Pattern Recognition and Machine Learning. Springer (August 2006)

    Google Scholar 

  13. Lu, Y.-H., Benini, L., De Micheli, G.: Power-aware operating systems for interactive systems. IEEE Trans. VLSI System 10(4), 119–134 (2002)

    Google Scholar 

  14. Simunic, T., Boyd, S.: Managing power consumption in networks on chips. In: DATE 2002 (2002)

    Google Scholar 

  15. Sutton, R.S., Barto, A.G.: Reinforcement Learning: An Introduction. MIT Press, Cambridge (1998)

    Google Scholar 

  16. Rong, P., Pedram, M.: A Stochastic Framework for Hierarchical System-Level Power Management. In: Proc. of Symp. on Low Power Electronics and Design, pp. 269–274 (August 2005)

    Google Scholar 

  17. Ren, Z., Krogh, B.H., Marculescu, R.: Hierarchical adaptive dynamic power management. IEEE Trans. Computers 54(4), 409–420 (2005)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Triki, M., Wang, Y., Ammari, A.C., Pedram, M. (2013). Dynamic Power Management of a Computer with Self Power-Managed Components. In: Ayala, J.L., Shang, D., Yakovlev, A. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation. PATMOS 2012. Lecture Notes in Computer Science, vol 7606. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-36157-9_22

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-36157-9_22

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-36156-2

  • Online ISBN: 978-3-642-36157-9

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics