Skip to main content

Dynamic Power Estimation with Hardware Performance Counters Support on Multi-core Platform

  • Conference paper
Advanced Computer Architecture

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 451))

Abstract

Power estimation has attracted a plenty of attentions for its significant guidance for OS scheduling and the development of power-efficiency optimization design. Previous researches indicate that power consumption can be estimated via monitoring related hardware events, such as retirement of instructions, cache access, etc. However, these models based on hardware events will introduce an error around 5%. In this paper, a more accurate hardware events directed power model is proposed. We identified the most appropriate events to respond to the major power consumption components. By analyzing the hardware events in processor through performance counters, a unified run-time power estimation model is introduced. Our model has been verified through real-time measurement and shown to be 3.01% and 1.99% inaccurate for PARSEC and SPLASH-2 benchmark suites. Our power estimation model can serve as a foundation for intelligent, power-aware systems that can dynamically balance power assignment and smooth peak power at run-time.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. TOP 500 SUPERCOMPUTER SITES, http://www.top500.org/list/2013/06 (accessed December 12, 2013)

  2. THE GREEN500 SITES, http://www.green500.org (accessed December 12, 2013)

  3. Kumar, A., Shang, L., Peh, L.S., et al.: HybDTM: a coordinated hardware-software approach for dynamic thermal management. In: Proceedings of the 43rd annual Design Automation Conference, pp. 548–553. ACM (2006)

    Google Scholar 

  4. Yang, J., Zhou, X., Chrobak, M., et al.: Dynamic thermal management through task scheduling. In: IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2008, pp. 191–201. IEEE (2008)

    Google Scholar 

  5. Joseph, R., Martonosi, M.: Run-time power estimation in high performance microprocessors. In: Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pp. 135–140. ACM (2001)

    Google Scholar 

  6. Bellosa, F.: The benefits of event: driven energy accounting in power-sensitive systems. In: Proceedings of the 9th Workshop on ACM SIGOPS European Workshop: Beyond the PC: New Challenges for the Operating System, pp. 37–42. ACM (2000)

    Google Scholar 

  7. Bertran, R., Gonzàlez, M., Martorell, X., et al.: Counter-Based Power Modeling Methods: Top-Down vs. Bottom-Up. The Computer Journal 56(2), 198–213 (2013)

    Article  Google Scholar 

  8. Isci, C., Martonosi, M.: Runtime power monitoring in high-end processors: Methodology and empirical data. In: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, p. 93. IEEE Computer Society (2003)

    Google Scholar 

  9. Intel® 64 and IA-32 Architectures Software Developer’s Manual. Volume 3A: System Programming Guide, Part 1

    Google Scholar 

  10. Gupta, V., Brett, P., Koufaty, D., et al.: The forgotten ‘uncore’: On the energy-efficiency of heterogeneous cores. In: USENIX Annual Technical Conf. (2012)

    Google Scholar 

  11. Performance Application Programming Interface, http://icl.cs.utk.edu/papi/ (accessed December 2, 2013)

  12. Perfmon2 improving performance monitoring on Linux, http://perfmon2.sourceforge.net (accessed December 2, 2013)

  13. PerfSuite@SourceForge website, http://perfsuite.sourceforge.net (accessed December 2, 2013)

  14. David, H., Gorbatov, E., Hanebutte, U.R., et al.: RAPL: memory power estimation and capping. In: 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED), pp. 189–194. IEEE (2010)

    Google Scholar 

  15. Liu, Y., Dick, R.P., Shang, L., et al.: Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: Proceedings of the Conference on Design, Automation and Test in Europe. EDA Consortium, pp. 1526–1531 (2007)

    Google Scholar 

  16. Henning, J.L.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Computer Architecture News 34(4), 1–17 (2006)

    Article  MathSciNet  Google Scholar 

  17. Bienia, C., Kumar, S., Singh, J.P., et al.: The PARSEC benchmark suite: Characterization and architectural implications. In: Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, pp. 72–81. ACM (2008)

    Google Scholar 

  18. Bienia, C., Kumar, S., Li, K.: PARSEC vs. SPLASH-2: A quantitative comparison of two multithreaded benchmark suites on chip-multiprocessors. In: IEEE International Symposium on Workload Characterization, IISWC 2008, pp. 47–56. IEEE (2008)

    Google Scholar 

  19. Arpaci-Dusseau, R.H., Arpaci-Dusseau, A.C.: Operating Systems: Three Easy Pieces [Chapter: Faster Translations (TLBs)] (2014)

    Google Scholar 

  20. Korn, W., Teller, P.J., Castillo, G.: Just how accurate are performance counters? In: IEEE International Conference on Performance, Computing, and Communications, pp. 303–310. IEEE (2001)

    Google Scholar 

  21. Brooks, D., Tiwari, V., Martonosi, M.: Wattch: a framework for architectural-level power analysis and optimizations. ACM (2000)

    Google Scholar 

  22. Isci, C., Martonosi, M.: Phase characterization for power: evaluating control-flow-based and event-counter-based techniques. In: HPCA, pp. 121–132 (2006)

    Google Scholar 

  23. 张骏, 樊晓桠, 刘松鹤. 多核, 多线程处理器的低功耗设计技术研究. 计算机科学 34(10), 301–305 (2007)

    Google Scholar 

  24. Singh, K., Bhadauria, M., McKee, S.A.: Real time power estimation and thread scheduling via performance counters. ACM SIGARCH Computer Architecture News 37(2), 46–55 (2009)

    Article  Google Scholar 

  25. Bertran, R., Gonzalez, M., Martorell, X., et al.: Decomposable and responsive power models for multicore processors using performance counters. In: Proceedings of the 24th ACM International Conference on Supercomputing, pp. 147–158. ACM (2010)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Liu, X., Shen, L., Qian, C., Wang, Z. (2014). Dynamic Power Estimation with Hardware Performance Counters Support on Multi-core Platform. In: Wu, J., Chen, H., Wang, X. (eds) Advanced Computer Architecture. Communications in Computer and Information Science, vol 451. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-662-44491-7_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-662-44491-7_14

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-662-44490-0

  • Online ISBN: 978-3-662-44491-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics