Skip to main content

Flexicache: Highly Reliable and Low Power Cache under Supply Voltage Scaling

  • Conference paper
Book cover High Performance Computing (CARLA 2014)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 485))

Included in the following conference series:

Abstract

Processors supporting a wide range of supply voltages are necessary to achieve high performance in nominal supply voltage and to reduce the power consumption in low supply voltage. However, when the supply voltage is lowered below the safe margin (especially close to the threshold voltage level), the memory cell failure rate increases drastically. Thus, it is essential to provide reliability solutions for memory structures. This paper proposes a novel, reliable L1 cache design, Flexicache, which automatically configures itself for different supply voltages in order to tolerate different fault rates. Flexicache is a circuit-driven solution achieving in-cache replication with no increase in the access latency and with a minimum increase in the energy consumption. It defines three operating modes: Single Version Mode, Double Version Mode and Triple Version Mode. Compared to the best previous proposal, Flexicache can provide 34% higher energy reduction for L1 caches with 2× higher error correction capability in the low-voltage mode.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. The Electric VLSI Design System (2014), http://www.staticfreesoft.com

  2. Predictive technology model (2014), http://ptm.asu.edu/

  3. Abella, J., et al.: Low Vccmin Fault-Tolerant Cache with Highly Predictable Performance. In: MICRO, pp. 111–121 (2009)

    Google Scholar 

  4. Agarwal, A., et al.: Process Variation in Embedded Memories: Failure Analysis and Variation Aware Architecture. IEEE Journal of Solid-State Circuits 40(9), 1804–1814 (2005)

    Article  Google Scholar 

  5. Ansari, A., et al.: ZerehCache: Armoring Cache Architectures in High Defect Density Technologies. In: MICRO, pp. 100–110 (2009)

    Google Scholar 

  6. Armejach, A., et al.: Using a Reconfigurable L1 Data Cache for Efficient Version Management in Hardware Transactional Memory. In: PaCT (2011)

    Google Scholar 

  7. Bajura, M.A., et al.: Models and Algorithmic Limits for an ECC-Based Approach to Hardening Sub-100-nm SRAMs. IEEE Trans. Nuclear Science 54(4), 935–945 (2007)

    Article  Google Scholar 

  8. Baumann, R.: Soft Errors in Advanced Computer Systems. IEEE Design and Test 22, 258–266 (2005)

    Article  Google Scholar 

  9. Chakraborty, A., et al.: E < mc2: Less energy through multi-copy cache. In: CASES, pp. 237–246 (2010)

    Google Scholar 

  10. Chen, C.L., Hsiao, M.Y.: Error-Correcting Codes for Semiconductor Memory Applications: A State-Of-The-Art Review. IBM Journal of Research and Development 28(2), 124–134 (1984)

    Article  Google Scholar 

  11. Chen, G.K., et al.: Yield-Driven Near-Threshold SRAM Design. In: ICCAD 2007, pp. 660–666 (2007)

    Google Scholar 

  12. Chishti, Z., et al.: Improving Cache Lifetime Reliability at Ultra-Low Voltages. In: MICRO, pp. 89–99 (2009)

    Google Scholar 

  13. Constantinescu, C.: Trends and challenges in vlsi circuit reliability. IEEE Micro 23, 14–19 (2003)

    Article  Google Scholar 

  14. Cosemans, S., Dehaene, W., Catthoor, F.: A 3.6 pJ/Access 480 MHz, 128 kb On-Chip SRAM with 850 MHz Boost Mode in 90 nm CMOS with Tunable Sense Amplifiers. IEEE Journal of Solid-State Circuits 44, 2065–2077 (2009)

    Article  Google Scholar 

  15. Dreslinski, R.G., et al.: Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits. Proceedings of the IEEE 98(2), 253–266 (2010)

    Article  Google Scholar 

  16. Ergin, O., Balkan, D., Ponomarev, D., Ghose, K.: Early Register Deallocation Mechanisms Using Checkpointed Register Files. IEEE Transactions on Computers 55(9), 1153–1166 (2006)

    Article  Google Scholar 

  17. Franklin, M., et al.: Built-in Self-Testing of Random-Access Memories. IEEE Computer 23(10) (1990)

    Google Scholar 

  18. Hsiao, M., et al.: Orthogonal Latin Square Codes. IBM Journal of Research and Development 14(4), 390–394 (1970)

    Article  MATH  Google Scholar 

  19. Kim, J., et al.: Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. In: MICRO (2007)

    Google Scholar 

  20. Kulkarni, J.P., et al.: A 160 mV Robust Schmitt Trigger Based Sub-threshold SRAM. IEEE Journal of Solid-State Circuits 42(10), 2303–2313 (2007)

    Article  Google Scholar 

  21. McNairy, C., Soltis, D.: Itanium 2 Processor Microarchitecture. IEEE Micro 23, 44–45 (2003)

    Article  Google Scholar 

  22. Mcnairy, C., Bhatia, R.: Montecito: A Dual-Core, Dual-Thread Itanium Processor. In: IEEE Micro (2005)

    Google Scholar 

  23. Miller, T., et al.: Parichute: Generalized Turbocode-Based Error Correction for Near-Threshold Caches. In: MICRO, pp. 351–362 (2010)

    Google Scholar 

  24. Morita, Y., Fujiwara, H., Noguchi, H., Iguchi, Y.: An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment. In: IEEE Symposium on VLSI Circuits, pp. 256–257 (June 2007)

    Google Scholar 

  25. Rusu, S., Muljono, H., Ayers, D., Tam, S., Chen, W., Martin, A., Li, S., Vora, S., Varada, R., Wang, E.: 5.4 Ivytown: A 22nm 15-core enterprise Xeon® processor family. In: IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 102–103 (2014)

    Google Scholar 

  26. Seyedi, A., Yalcin, G., Unsal, O.S., Cristal, A.: Circuit Design of a Novel Adaptable and Reliable L1 Data Cache. In: Proceedings of the 23rd ACM International Conference on Great Lakes Symposium on VLSI, pp. 333–334 (2013)

    Google Scholar 

  27. Seyedi, A., et al.: Circuit Design of a Dual-Versioning L1 Data Cache for Optimistic Concurrency. In: GLSVLSI, pp. 325–330 (2011)

    Google Scholar 

  28. Sorin, D.J., et al.: SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery. In: Proceedings of the 29th ISCA, pp. 123–134 (2002)

    Google Scholar 

  29. Thoziyoor, S., Muralimanohar, N., Ahn, J.H., Jouppi, N.P.: CACTI 5.1. Technical report, HP Laboratories (2008)

    Google Scholar 

  30. Wilkerson, C., et al.: Trading off Cache Capacity for Reliability to Enable Low Voltage Operation. In: ISCA, pp. 203–214 (2008)

    Google Scholar 

  31. Zhang, W.: Replication Cache: A Small Fully Associative Cache to Improve Data Cache Reliability. IEEE Transactions on Computers 54, 1547–1555 (2005)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Yalcin, G., Seyedi, A., Unsal, O.S., Cristal, A. (2014). Flexicache: Highly Reliable and Low Power Cache under Supply Voltage Scaling. In: Hernández, G., et al. High Performance Computing. CARLA 2014. Communications in Computer and Information Science, vol 485. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-662-45483-1_13

Download citation

  • DOI: https://doi.org/10.1007/978-3-662-45483-1_13

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-662-45482-4

  • Online ISBN: 978-3-662-45483-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics