Skip to main content

Intelligent NOC Hotspot Prediction

  • Conference paper
  • First Online:
VLSI 2010 Annual Symposium

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 105))

Abstract

Hotspots are Network on-Chip (NoC) routers or modules which occasionally receive packetized traffic at a higher rate that they can process. This phenomenon reduces the performance of an NoC, especially in the case wormhole flow-control. Such situations may also lead to deadlocks, raising the need of a hotspot prevention mechanism. Such mechanism can potentially enable the system to adjust its behavior and prevent hotspot formation, subsequently sustaining performance and efficiency. This Chapter presents an Artificial Neural Network-based (ANN) hotspot prediction mechanism, potentially triggering a hotspot avoidance mechanism before the hotspot is formed. The ANN monitors buffer utilization and reactively predicts the location of an about to-be-formed hotspot, allowing enough time for the system to react to these potential hotspots. The neural network is trained using synthetic traffic models, and evaluated using both synthetic and real application traces. Results indicate that a relatively small neural network can predict hotspot formation with accuracy ranges between 76 and 92%.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Baydal E et al (2005) A Family of mechanisms for congestion control in wormhole networks. In IEEE TPDS 16(9):772–784 Sept 2005

    Google Scholar 

  2. Bell S et al (2008) TILE64 Processor: A 64-Core SoC with mesh interconnect. In: ISSCC, pp 88–598 Feb 2008

    Google Scholar 

  3. Bertozzi D, Benini L (2004) Xpipes: A Network-on-Chip architecture for gigascale Systems-on-Chip. In: IEEE Circ Syst 4(2):18–31, Second Quarter

    Google Scholar 

  4. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of Network-on-Chip. In ACM CSUR 38(1):1–51 March 2006

    Article  Google Scholar 

  5. Bolotin E et al (2004) QNoC: QoS architecture and design process for Network on Chip. In Elsevier JSA 50(2–3):105–128 Feb 2004

    Google Scholar 

  6. Dally WJ (1992) Virtual-channel flow control. In IEEE TPDS 3(2):94–205 March 1992

    Google Scholar 

  7. Dally WJ, Towles B (2001) Route packets, not wires: on-Chip interconnection networks. In: DAC, pp 684–689 June 2001

    Google Scholar 

  8. Dally WJ, Towles B (2004) Principles and practices of interconnection networks. Morgan kaufmann publishers Inc. ISBN 9780122007514

    Google Scholar 

  9. Daneshtalab M et al (2006) NoC hot spot minimization using antNet dynamic routing algorithm. In: ASAP, pp 33–38 Dec 2006

    Google Scholar 

  10. Duato J et al (2005) A new scalable and cost-effective congestion management strategy for lossless multistage interconnection networks. In: HPCA, pp 108–119 Feb 2005

    Google Scholar 

  11. Goossens K et al (2005) AEtherealn Network on chip: concepts, architectures, and implementations. In: IEEE DTC, pp 414–421 Sept-Oct 2005

    Google Scholar 

  12. Hashem S et al (1999) A novel approach for training neural networks for long-term prediction. In IJCNN 3:1594–1599 July 1999

    Google Scholar 

  13. Hashemi KS et al (1991) On the number of training points needed for adequate training of feedforward neural networks. In: IFNNPS, pp 232–236 July 1991

    Google Scholar 

  14. Ho WS, Eager DL (1989) A novel strategy for controlling hot-spot congestion. In: IEEE ICPP, pp 14–18

    Google Scholar 

  15. Gaughan PT, Yalamanchili S (1993) Adaptive routing protocols for hypercube interconnection networks. In IEEE Computer 26(5):12–23 May 1993

    Google Scholar 

  16. Jain AK et al (1996) Artificial neural networks: a tutorial. In IEEE Computer 29(29):31–44 March 1996

    Google Scholar 

  17. Maqsood I et al (2004) An ensemble of neural networks for weather forecasting. In Neural Computing & Applications 13(2):112–122 June 2004

    Google Scholar 

  18. McCoy A et al (2007) Multistep-Ahead Neural-Network Predictors for Network Traffic Reduction in Distributed Interactive Applications. In: ACM TOMACS 17(4):1–30

    MathSciNet  Google Scholar 

  19. Nilsson E et al (2003) Load Distribution with the Proximity Congestion Awareness in a Network on Chip. In: DATE, pp 11126–11127 March 2003

    Google Scholar 

  20. Peh L-S, Dally WJ (2000) Flit-Reservation Flow Control. In: HPCA, pp 73–84 Jan 2000

    Google Scholar 

  21. Pande PP et al (2005) Performance evaluation and design trade-offs for Network-on-Chip interconnect architectures. In IEEE TPDS 54(8):1025–1040 Aug 2005

    Google Scholar 

  22. Sarbazi-Azad H et al (2001) An analytical model of fully-adaptive wormhole-routed k-ary n-cubes in the presence of hot spot traffic. In IEEE TOC 50(7):623–634 July 2001

    MathSciNet  Google Scholar 

  23. Steven G et al (2001) Dynamic branch prediction using neural networks. In: DSD, pp 178–185 Sept 2001

    Google Scholar 

  24. Taylor MB et al (2004) Evaluation of the raw microprocessor: an exposed-wire-delay architecture for ILP and streams. In: ISCA, pp 2–13

    Google Scholar 

  25. Teixeira A et al (2000) A multi-objective optimization approach for training artificial neural networks. In: IEEE SBRN, pp 168–172 Jan 2000

    Google Scholar 

  26. Vangal S et al (2007) An 80-tile 1.28TFLOPS Network-on-Chip in 65 nm CMOS. In: ISSCC, pp 98–99 Feb 2007

    Google Scholar 

  27. Walter I et al (2007) Access regulation to hot-modules in wormhole NoCs. In: NoCs, pp 137–148 May 2007

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Theocharis Theocharides .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media B.V.

About this paper

Cite this paper

Kakoulli, E., Soteriou, V., Theocharides, T. (2011). Intelligent NOC Hotspot Prediction. In: Voros, N., Mukherjee, A., Sklavos, N., Masselos, K., Huebner, M. (eds) VLSI 2010 Annual Symposium. Lecture Notes in Electrical Engineering, vol 105. Springer, Dordrecht. https://doi.org/10.1007/978-94-007-1488-5_1

Download citation

  • DOI: https://doi.org/10.1007/978-94-007-1488-5_1

  • Published:

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-007-1487-8

  • Online ISBN: 978-94-007-1488-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics