Skip to main content

LISOCHIN: An NBTI Degradation Monitoring Sensor for Reliable CMOS Circuits

  • Conference paper
  • First Online:

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 711))

Abstract

Reliability and variability issues are the biggest design challenges facing nanoscale high-speed applications. Negative bias temperature instability (NBTI) is the major reliability issues with the scaled devices. Effect of NBTI increases with the time and it increases the threshold voltage of PMOS. This paper presents an NBTI degradation sensor which monitors the change in standby leakage current (\(I_{ddq}\)) of the test circuit under the stress conditions. The performance of proposed sensor is linear and highly sensitive. Due to high sensitivity, the proposed sensor is best suited for compensation of temporal degradation during measurement. The sensitivity of the proposed sensor further increase at elevated temperature (125 \(^{\circ }\)C) compares to room temperature (27 \(^{\circ }\)C). The proposed sensor has the improvement in sensitivity of 20.12% and 74.82% as compared to CM based sensor at room temperature and elevated temperature respectively. The transimpedance of the proposed sensor is linear and the linearity is unaffected by the voltage and temperature variations. The proposed sensor is 25% smaller and has faster response compared to CM based sensor. The proposed sensor is also unaffected by the supply voltage variations.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Borkar, S., et al.: Microarchitecture and design challenges for gigascale integration. MICRO 37, 3 (2004)

    Google Scholar 

  2. Cho, M., Lee, J.D., Aoulaiche, M., Kaczer, B., Roussel, P., Kauerauf, T., Degraeve, R., Franco, J., Ragnarsson, L.Å., Groeseneken, G.: Insight into N/PBTI mechanisms in sub-1-nm-EOT devices. IEEE Trans. Electron Devices 59(8), 2042–2048 (2012)

    Article  Google Scholar 

  3. Panagopoulos, G.D., Roy, K.: A three-dimensional physical model for \(V_{th}\) variations considering the combined effect of NBTI and RDF. IEEE Trans. Electron Devices 58(8), 2337–2346 (2011)

    Article  Google Scholar 

  4. Wang, Y., Enachescu, M., Cotofana, S.D., Fang, L.: Variation tolerant on-chip degradation sensors for dynamic reliability management systems. Microelectron. Reliab. 52(9), 1787–1791 (2012)

    Article  Google Scholar 

  5. Schroder, D.K.: Negative bias temperature instability: what do we understand? Microelectron. Reliab. 47(6), 841–852 (2007)

    Article  Google Scholar 

  6. Singh, P., Karl, E., Blaauw, D., Sylvester, D.: Compact degradation sensors for monitoring NBTI and oxide degradation. IEEE Trans. Very Large Scale Integr. VLSI Syst. 20(9), 1645–1655 (2012)

    Article  Google Scholar 

  7. Habchi, R., Salame, C., Khoury, A., Mialhe, P.: Temperature dependence of a silicon power device switching parameters. Appl. Phys. Lett. 88(15), 153503 (2006)

    Article  Google Scholar 

  8. Khan, S., Hamdioui, S.: Temperature impact on NBTI modeling in the framework of technology scaling. In: Proceeding 2nd HiPEAC Workshop on Design for Reliability, Pisa, Italy (2010)

    Google Scholar 

  9. Khan, S., Hamdioui, S.: Temperature dependence of NBTI induced delay. In: 16th IEEE International On-Line Testing Symposium (IOLTS), pp. 15–20 (2010)

    Google Scholar 

  10. Kim, K.K., Wang, W., Choi, K.: On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits. IEEE Trans. Circuits Syst. II Express Briefs 57(10), 798–802 (2010)

    Article  Google Scholar 

  11. Yadav, N., Jain, S., Pattanaik, M., Sharma, G.: NBTI aware IG-FinFET based SRAM design using adaptable trip-point sensing technique. In: IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp. 122–128 (2014)

    Google Scholar 

  12. Mostafa, H., Anis, M., Elmasry, M.: Adaptive body bias for reducing the impacts of NBTI and process variations on 6T SRAM cells. IEEE Trans. Circuits Syst. I Regul. Pap. 58(12), 2859–2871 (2011)

    Article  MathSciNet  Google Scholar 

  13. Mostafa, H., Anis, M., Elmasry, M.: NBTI and process variations compensation circuits using adaptive body bias. IEEE Trans. Semicond. Manuf. 25(3), 460–467 (2012)

    Article  Google Scholar 

  14. Chen, S.L., Ker, M.D.: A new schmitt trigger circuit in a 0.13-/spl mu/m 1/2.5-V CMOS process to receive 3.3-V input signals. IEEE Trans. Circuits Syst. II Express Briefs 52(7), 361–365 (2005)

    Article  Google Scholar 

  15. Kang, K., Alam, M.A., Roy, K.: Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using \(I_{ddq}\). In: IEEE International Test Conference, ITC 2007, pp. 1–10 (2007)

    Google Scholar 

  16. Wang, Y., Cotofana, S.D., Fang, L.: Statistical reliability analysis of NBTI impact on FinFET SRAMs and mitigation technique using independent-gate devices. In: IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp. 109–115 (2012)

    Google Scholar 

  17. Wang, Y., Cotofana, S.D., Fang, L.: Analysis of the impact of spatial and temporal variations on the stability of SRAM arrays and the mitigation technique using independent-gate devices. J. Parallel Distrib. Comput. 74(6), 2521–2529 (2014)

    Article  Google Scholar 

  18. Traversi, G., Gaioni, L., Ratti, L., Manghisoni, M., Re, V.: Perspectives of 65 nm CMOS technologies for high performance front-end electronics. In: 21st International Workshop on Vertex Detectors, 026 (2012)

    Google Scholar 

  19. Kim, N.S., Austin, T., Baauw, D., Mudge, T., Flautner, K., Hu, J.S., Irwin, M.J., Kandemir, M., Narayanan, V.: Leakage current: Moore’s law meets static power. computer 36(12), 68–75 (2003)

    Article  Google Scholar 

Download references

Acknowledgement

The authors would like to thank the University Grant Commission (UGC) New Delhi, Government of India for providing financial support and CSIR, Government of India with research project grant no. 22/0651/14/ EMR-II, for simulation software.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ambika Prasad Shah .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Shah, A.P., Yadav, N., Vishvakarma, S.K. (2017). LISOCHIN: An NBTI Degradation Monitoring Sensor for Reliable CMOS Circuits. In: Kaushik, B., Dasgupta, S., Singh, V. (eds) VLSI Design and Test. VDAT 2017. Communications in Computer and Information Science, vol 711. Springer, Singapore. https://doi.org/10.1007/978-981-10-7470-7_44

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-7470-7_44

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-7469-1

  • Online ISBN: 978-981-10-7470-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics