Skip to main content

A Formal Perspective on Effective Post-silicon Debug and Trace Signal Selection

  • Conference paper
  • First Online:
VLSI Design and Test (VDAT 2017)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 711))

Included in the following conference series:

Abstract

In spite of state explosion problem in the present era of complex and large designs, formal methods have been utilized for pre-silicon verification with limited success. This paper critically analyzes some of the reported work on usage of formal principles for effective root-cause finding of bugs during post-silicon validation and debugging. The application of trace buffers assist in mitigating the problem of limited observability of internal states during debug at post-silicon stage. This paper proposes the usage of state restoration principle to increase the efficiency of the formal methods of post-silicon debugging. To solve the problem of trace signal selection, a methodology based on formal principles is presented to increase the effectiveness of trace signals.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Park, S.B., Mitra, S.: IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors. In: 45th ACM/IEEE Design Automation Conference, DAC 2008, pp. 373–378, June 2008

    Google Scholar 

  2. Kuppuswamy, R., DesRosier, P., Feltham, D., Sheikh, R., Thadikaran, P.: Full hold-scan systems in microprocessors: cost/benefit analysis. Intel Technol. J. 8, 63–71 (2004)

    Google Scholar 

  3. Ko, H.F., Nicolici, N.: Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(2), 285–297 (2009)

    Article  Google Scholar 

  4. Rahmani, K., Mishra, P., Ray, S.: Efficient trace signal selection using augmentation and ILP techniques. In: Fifteenth International Symposium on Quality Electronic Design, pp. 148–155, March 2014

    Google Scholar 

  5. Gort, M., Paula, F.M.D., Kuan, J.J.W., Aamodt, T.M., Hu, A.J., Wilton, S.J.E., Yang, J.: Formal-analysis-based trace computation for post-silicon debug. IEEE Trans. Very Large Scale Integr. VLSI Syst. 20(11), 1997–2010 (2012)

    Article  Google Scholar 

  6. Paula, F.M.D., Gort, M., Hu, A.J., Wilton, S.J.E.: Backspace: moving towards reality. In: 2008 Ninth International Workshop on Microprocessor Test and Verification, pp. 49–54, December 2008

    Google Scholar 

  7. Kuan, J.J.W., Aamodt, T.M.: Progressive-backspace: efficient predecessor computation for post-silicon debug. In: 2012 13th International Workshop on Microprocessor Test and Verification (MTV), pp. 70–75, December 2012

    Google Scholar 

  8. de Paula, F.M., Nahir, A., Nevo, Z., Orni, A., Hu, A.J.: Tab-backspace: unlimited-length trace buffers with zero additional on-chip overhead. In: 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 411–416, June 2011

    Google Scholar 

  9. Sengupta, D., de Paula, F.M., Hu, A.J., Veneris, A., Ivanov, A.: Lazy suspect-set computation: fault diagnosis for deep electrical bugs. In: Proceedings of the Great Lakes Symposium on VLSI, GLSVLSI 2012, pp. 189–194. ACM, New York (2012)

    Google Scholar 

  10. Kuan, J.J.W., Wilton, S.J.E., Aamodt, T.M.: Accelerating trace computation in post-silicon debug. In: 2010 11th International Symposium on Quality Electronic Design (ISQED), pp. 244–249, March 2010

    Google Scholar 

  11. Taatizadeh, P., Nicolici, N.: Automated selection of assertions for bit-flip detection during post-silicon validation. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(12), 2118–2130 (2016)

    Google Scholar 

  12. Boule, M., Zilic, Z.: Incorporating efficient assertion checkers into hardware emulation. In: 2005 International Conference on Computer Design, pp. 221–228, October 2005

    Google Scholar 

  13. Zhu, C.S., Weissenbacher, G., Malik, S.: Post-silicon fault localisation using maximum satisfiability and backbones. In: International Conference on Formal Methods in Computer-Aided Design, FMCAD 2011, Austin, USA, 30 October–02 November, 2011, pp. 63–66 (2011)

    Google Scholar 

  14. http://www.opencores.org/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Binod Kumar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Kumar, B., Basu, K., Jindal, A., Pandey, B., Fujita, M. (2017). A Formal Perspective on Effective Post-silicon Debug and Trace Signal Selection. In: Kaushik, B., Dasgupta, S., Singh, V. (eds) VLSI Design and Test. VDAT 2017. Communications in Computer and Information Science, vol 711. Springer, Singapore. https://doi.org/10.1007/978-981-10-7470-7_71

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-7470-7_71

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-7469-1

  • Online ISBN: 978-981-10-7470-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics