Skip to main content

ACCDSE: A Design Space Exploration Framework for Convolutional Neural Network Accelerator

  • Conference paper
  • First Online:
Computer Engineering and Technology (NCCET 2017)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 600))

Included in the following conference series:

Abstract

In deep learning, convolutional neural network (CNN) is quite representative. The convolutional operation of CNN is the focus of hardware acceleration research. Because of CNN’s memory-intensive and compute-intensive features, increasing size of network poses a greater challenge on the design of the hardware accelerator. We need to determine the parameters of the accelerator at the early stages of the accelerator design.

This paper presents a design space exploration framework for CNN accelerator: ACCDSE, for determining the parameters of convolutional accelerator in FPGA. Simulation method and theoritical computation method are both used to find the optimal parameter. Experiment on LeNet shows that 16-bit fixed point is the most economical data precision for inference of LeNet. By theoritical analysis, the ACCDSE framework can obtain optimal matrix tiling parameters. Without decreasing the classification accuracy, the power consumption can be reduced by 33.57% and the storage can be reduced by 41.47% after weight pruning.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Akopyan, F., Sawada, J., Cassidy, A., Alvarez-Icaza, R.: Truenorth: design and tool flow of a 65 mw 1 million neuron programmable neurosynaptic chip. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 34(10), 1537–1557 (2015)

    Article  Google Scholar 

  2. Alwani, M., Chen, H., Ferdman, M., Milder, P.: Fused-layer CNN accelerators. In: 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 1–12, October 2016

    Google Scholar 

  3. Chen, T., Du, Z., Sun, N., Wang, J., Wu, C., Chen, Y., Temam, O.: Diannao: a small-footprint high-throughput accelerator for ubiquitous machine-learning. In: International Conference on Architectural Support for Programming Languages and Operating Systems, pp. 269–284 (2014)

    Google Scholar 

  4. Du, Z., Fasthuber, R., Chen, T., Ienne, P., Li, L., Luo, T., Feng, X., Chen, Y., Temam, O.: Shidiannao: shifting vision processing closer to the sensor. In: Proceedings of the International Symposium on Computer Architecture, ISCA 2015, pp. 92–104 (2015)

    Google Scholar 

  5. Farabet, C., Poulet, C., Han, J.Y., Lecun, Y.: CNP: an FPGA-based processor for convolutional networks. In: International Conference on Field Programmable Logic and Applications, pp. 32–37 (2009)

    Google Scholar 

  6. Gupta, S., Agrawal, A., Gopalakrishnan, K., Narayanan, P.: Deep learning with limited numerical precision. Computer Science (2015)

    Google Scholar 

  7. Han, S., Liu, X., Mao, H., Pu, J., Pedram, A., Horowitz, M.A., Dally, W.J.: EIE: efficient inference engine on compressed deep neural network. In: International Symposium on Computer Architecture, pp. 243–254 (2016)

    Google Scholar 

  8. Ji, Y., Zhang, Y.H., Li, S.C., Chi, P., Jiang, C.H., Qu, P., Xie, Y., Chen, W.G.: Neutrams: neural network transformation and co-design under neuromorphic hardware constraints. In: The IEEE/ACM International Symposium on Microarchitecture, pp. 1–13 (2016)

    Google Scholar 

  9. Meloni, P., Deriu, G., Conti, F., Loi, I., Raffo, L., Benini, L.: Curbing the roofline: a scalable and flexible architecture for CNNS on FPGA. In: The ACM International Conference, pp. 376–383 (2016)

    Google Scholar 

  10. Motamedi, M., Gysel, P., Akella, V., Ghiasi, S.: Design space exploration of FPGA-based deep convolutional neural networks. In: Asia and South Pacific Design Automation Conference, pp. 575–580 (2016)

    Google Scholar 

  11. Peemen, M., Setio, A., Mesman, B., Corporaal, H.: Memory-centric accelerator design for Convolutional Neural Networks (2013)

    Google Scholar 

  12. Reagen, B., Whatmough, P., Adolf, R., Rama, S., Lee, H., Lee, S.K., Jos Ndez-Lobato, M., Wei, G.Y., Brooks, D.: Minerva: enabling low-power, highly-accurate deep neural network accelerators. In: ACM/IEEE International Symposium on Computer Architecture, pp. 267–278 (2016)

    Google Scholar 

  13. Shen, Y., Ferdman, M., Milder, P.: Overcoming resource underutilization in spatial CNN accelerators (2016)

    Google Scholar 

  14. Wang, C., Gong, L., Yu, Q., Li, X.: DLAU: a scalable deep learning accelerator unit on FPGA (2016)

    Google Scholar 

  15. Zhang, C., Fang, Z., Zhou, P., Pan, P., Cong, J.: Caffeine: towards uniformed representation and acceleration for deep convolutional neural networks. In: International Conference on Computer Aided Design (2016)

    Google Scholar 

  16. Zhang, C., Li, P., Sun, G., Guan, Y., Xiao, B., Cong, J.: Optimizing FPGA-based accelerator design for deep convolutional neural networks. In: ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 161–170 (2015)

    Google Scholar 

Download references

Acknowledgment

This project was supported by NSFC 61402501. I was also grateful to my teachers and students who had helped me in this project.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zhisheng Li .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Li, Z. et al. (2018). ACCDSE: A Design Space Exploration Framework for Convolutional Neural Network Accelerator. In: Xu, W., Xiao, L., Li, J., Zhang, C., Zhu, Z. (eds) Computer Engineering and Technology. NCCET 2017. Communications in Computer and Information Science, vol 600. Springer, Singapore. https://doi.org/10.1007/978-981-10-7844-6_3

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-7844-6_3

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-7843-9

  • Online ISBN: 978-981-10-7844-6

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics