Skip to main content

Implementation of a Novel Fault Tolerant Routing Technique for Mesh Network on Chip

  • Conference paper
  • First Online:
Book cover VLSI Design and Test (VDAT 2018)

Abstract

The continuous advancements in the Network on Chip technology emphasizes the need for fault tolerant designs. In this work, we propose a routing technique that handles multiple link faults. We use flit parameters to handle the fault in the routing path. Experimental analysis show that the proposed routing technique is capable of routing packets even with two fault locations and the packets are received in the destination router without any error. In addition, hardware implementation done using ZedBoard Zynq FPGA hardware kit shows that our design is having minor area overhead compared to the standard XY routing and it’s a significantly better choice than the other fault tolerant algorithms.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Wang, J., Fu, F., Zhang, T., Chen, Y.: A small-granularity solution on fault-tolerant in 2D-mesh network-on-chip. In: 10th IEEE International Conference on Solid-State and Integrated Circuit Technology (2010)

    Google Scholar 

  2. Wu, J., Cai, H., Qu, F., Yang, Y.: The reconfigurable fault tolerance routing algorithm in mesh topology structure. In: 10th International Conference on Wireless Communications, Networking and Mobile Computing (WiCOM 2014) (2014)

    Google Scholar 

  3. DeOrio, A., et al.: A reliable routing architecture and algorithm for NoCs. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 31(5), 726–739 (2012)

    Article  Google Scholar 

  4. Schonwald, T., Zimmermann, J., Bringmann, O., Rosenstiel, W.: Fully adaptive fault-tolerant routing algorithm for network-on-chip architectures. In: 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007) (2007)

    Google Scholar 

  5. Fukushima, Y., Fukushi, M., Yairi, I., Hattori, T.: A hardware-oriented fault-tolerant routing algorithm for irregular 2D-mesh network-on-chip without virtual channels. In: IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (2010)

    Google Scholar 

  6. Ebrahimi, M., Daneshtalab, M., Plosila, J.: High performance fault-tolerant routing algorithm for NoC-based many-core systems. In: 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (2013)

    Google Scholar 

  7. Pirretti, M., Link, G., Brooks, R., Vijaykrishnan, N., Kandemir, M., Irwin, M.: Fault tolerant algorithms for network-on-chip interconnect. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (2004)

    Google Scholar 

  8. Fick, D., DeOrio, A., Chen, G., Bertacco, V., Sylvester, D., Blaauw, D.: A highly resilient routing algorithm for fault-tolerant NoCs. In: Design, Automation and Test in Europe Conference and Exhibition (DATE) (2009)

    Google Scholar 

  9. Jojima, Y., Fukushi, M.: A fault-tolerant routing method for 2D-mesh network-on-chips based on components of a router. In: IEEE 5th Global Conference on Consumer Electronics (2016)

    Google Scholar 

  10. Gu, X., Cai, H., Zhang, Y., Huang, N., Yang, Y.: Research on network fault tolerance method on chip. In: 2016 9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics (CISP-BMEI) (2016)

    Google Scholar 

  11. Dally, W., Towles, B.: Route packets, not wires: on-chip interconnection networks. In: Design Automation Conference (2001)

    Google Scholar 

  12. Yan, P., Jiang, S., Sridhar, R.: A novel fault-tolerant router architecture for network-on-chip reconfiguration. In: 28th IEEE International System-on-Chip Conference (SOCC) (2015)

    Google Scholar 

  13. Chatterjee, N., Chattopadhyay, S., Manna, K.: A spare router based reliable network-on-chip design. In: IEEE International Symposium on Circuits and Systems (ISCAS) (2014)

    Google Scholar 

  14. Zhang, Z., Greiner, A., Taktak, S.: A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip. In: Proceedings of the 45th Annual Conference on Design Automation (DAC) (2008)

    Google Scholar 

  15. Zedboard.org Zedboard. http://www.zedboard.org/product/zedboard

Download references

Acknowledgement

This work is supported in part by a grant from DST Government of India, SERB-ECR scheme (project number ECR/2016/212)

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Akshay B. P. .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

B. P., A. et al. (2019). Implementation of a Novel Fault Tolerant Routing Technique for Mesh Network on Chip. In: Rajaram, S., Balamurugan, N., Gracia Nirmala Rani, D., Singh, V. (eds) VLSI Design and Test. VDAT 2018. Communications in Computer and Information Science, vol 892. Springer, Singapore. https://doi.org/10.1007/978-981-13-5950-7_42

Download citation

  • DOI: https://doi.org/10.1007/978-981-13-5950-7_42

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-13-5949-1

  • Online ISBN: 978-981-13-5950-7

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics