Skip to main content

A Survey of Multicast Communication in Optical Network-on-Chip (ONoC)

  • Conference paper
  • First Online:
Parallel Architectures, Algorithms and Programming (PAAP 2019)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1163))

Abstract

Optical Network-on-Chip (ONoC) is an emerging chip-level optical interconnection technology to realise high-performance and power-efficient inter-core communication for many-core processors. For on-chip networks, multicast communication is an important communication pattern, which is not only widely used in parallel computing applications in Chip Multi-Processors (CMPs), but also commonly adopted in emerging areas such as neuromorphic computing. However, the optimisation of multicast communication in an ONoC is not well studied and there is a significant room to improve the performance. In this paper, we present a comprehensive survey of the multicast communication in an ONoC, covering the development of both architecture design and networking design as well as our recent research outcomes. Moreover, we propose the design challenges and future research directions for optimising multicast in an ONoC, which can provide guidance and insight for the future researchers and chip developers.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abad, P., Puente, V., Gregorio, J.A.: MRR: enabling fully adaptive multicast routing for CMP interconnection networks. In: HPCA, pp. 355–366 (2009)

    Google Scholar 

  2. Abadal, S., Martínez, R., Alarcón, E., Cabellos-Aparicio, A.: Scalability-oriented multicast traffic characterization. In: IEEE/ACM international Symposium on NoCS, pp. 180–181 (2014)

    Google Scholar 

  3. Abadal, S., Mestres, A., Martinez, R., Alarcon, E., Cabellos-Aparicio, A.: Multicast on-chip traffic analysis targeting manycore NoC design. In: 23rd Euromicro International Conference on PDP, pp. 370–378 (2015)

    Google Scholar 

  4. Achballah, A.B., Othman, S.B., Saoud, S.B.: An extensive review of emerging technology networks-on-chip proposals. Global J. Res. Eng. 17(6), 16–40 (2017)

    Google Scholar 

  5. Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)

    Article  MathSciNet  Google Scholar 

  6. Association, S.I., et al.: International Technology Roadmap for Semiconductors 2.0 (2015 edn.) (2015). http://www.itrs2.net/

  7. Batten, C., et al.: Building many-core processor-to-DRAM networks with monolithic CMOS silicon photonics. IEEE Micro 29(4), 1 (2009)

    Article  MathSciNet  Google Scholar 

  8. Batten, C., Joshi, A., Stojanovć, V., Asanović, K.: Designing chip-level nanophotonic interconnection networks. In: O’Connor, I., Nicolescu, G. (eds.) Integrated Optical Interconnect Architectures for Embedded Systems, pp. 81–135. Springer, New York (2013). https://doi.org/10.1007/978-1-4419-6193-8_3

    Chapter  Google Scholar 

  9. Bogdan, P., Majumder, T., Ramanathan, A., Xue, Y.: NoC architectures as enablers of biological discovery for personalized and precision medicine. In: IEEE/ACM International Symposium on NoCS, p. 27 (2015)

    Google Scholar 

  10. Briere, M., et al.: System level assessment of an optical NoC in an MPSoC platform. In: DATE, pp. 1–6 (2007)

    Google Scholar 

  11. Carara, E.A., Moraes, F.G.: Deadlock-free multicast routing algorithm for wormhole-switched mesh networks-on-chip. In: IEEE ISVLSI. pp. 341–346 (2008)

    Google Scholar 

  12. Chatmen, M.F., Baganne, A., Tourki, R.: A new network on chip design dedicated to multicast service. J. Adv. Comput. Sci. Appl. 7(4), 104–116 (2016)

    Google Scholar 

  13. Cianchetti, M.J., Kerekes, J.C., Albonesi, D.H.: Phastlane: a rapid transit optical routing network. ACM SIGARCH Comput. Archit. News 37(3), 441–450 (2009)

    Article  Google Scholar 

  14. Daneshtalab, M., Ebrahimi, M., Xu, T.C., Liljeberg, P., Tenhunen, H.: A generic adaptive path-based routing method for MPSoCs. J. Syst. Archit. 57(1), 109–120 (2011)

    Article  Google Scholar 

  15. Dong, P., Chen, Y.K., Gu, T., Buhl, L.L., Neilson, D.T., Sinsky, J.H.: Reconfigurable 100 Gb/s silicon photonic network-on-chip. J. Opt. Commun. Netw. 7(1), A37–A43 (2015)

    Article  Google Scholar 

  16. Duraisamy, K., Xue, Y., Bogdan, P., Pande, P.P.: Multicast-aware high-performance wireless network-on-chip architectures. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(3), 1126–1139 (2017)

    Article  Google Scholar 

  17. Ebrahimi, M., Daneshtalab, M., Liljeberg, P., Tenhunen, H.: HAMUM-A novel routing protocol for unicast and multicast traffic in MPSoCs. In: The 18th Euromicro International Conference on PDP, pp. 525–532. IEEE (2010)

    Google Scholar 

  18. Ebrahimi, M., et al.: An efficient dynamic multicast routing protocol for distributing traffic in NOCs. In: DATE, pp. 1064–1069. IEEE (2009)

    Google Scholar 

  19. Gong, L., Zhou, X., Liu, X., Zhao, W., Lu, W., Zhu, Z.: Efficient resource allocation for all-optical multicasting over spectrum-sliced elastic optical networks. J. Opt. Commun. Netw. 5(8), 836–847 (2013)

    Article  Google Scholar 

  20. Gu, H., Mo, K.H., Xu, J., Zhang, W.: A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip. In: IEEE ISVLSI, pp. 19–24 (2009)

    Google Scholar 

  21. Guo, P., Hou, W., Guo, L., Yang, Q., Ge, Y., Liang, H.: Low insertion loss and non-blocking microring-based optical router for 3D optical network-on-chip. IEEE Photon. J. 10(2), 1–10 (2018)

    Article  Google Scholar 

  22. Hu, W., Lu, Z., Jantsch, A., Liu, H.: Power-efficient tree-based multicast support for networks-on-chip. In: The 16th ASP-DAC, pp. 363–368. IEEE (2011)

    Google Scholar 

  23. Jerger, N.E., Peh, L.S., Lipasti, M.: Virtual circuit tree multicasting: a case for on-chip hardware multicast support. In: The 35th IEEE International Symposium ISCA, pp. 229–240 (2008)

    Google Scholar 

  24. Joardar, B.K., Duraisamy, K., Pande, P.P.: High performance collective communication-aware 3D network-on-chip architectures. In: DATE, pp. 1351–1356. IEEE (2018)

    Google Scholar 

  25. Krishna, T., Peh, L.S., Beckmann, B.M., Reinhardt, S.K.: Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication. In: The 44th IEEE/ACM International Symposium on MICRO, pp. 71–82 (2011)

    Google Scholar 

  26. Liu, F., Zhang, H., Chen, Y., Huang, Z., Gu, H.: Dynamic ring-based multicast with wavelength reuse for optical network on chips. In: IEEE International Symposium on MCSoC (2016)

    Google Scholar 

  27. Liu, F., Zhang, H., Chen, Y., Huang, Z., Gu, H.: Wavelength-reused hierarchical optical network on chip architecture for manycore processors. IEEE Trans. Sustain. Comput. 4(2), 231–244 (2019)

    Article  Google Scholar 

  28. Morris, R., Jolley, E., Kodi, A.K.: Extending the performance and energy-efficiency of shared memory multicores with nanophotonic technology. IEEE Trans. Parallel Distrib. Syst. 25(1), 83–92 (2014)

    Article  Google Scholar 

  29. Nasiri, F., Sarbazi-Azad, H., Khademzadeh, A.: Reconfigurable multicast routing for networks on chip. Microprocess. Microsyst. 42, 180–189 (2016)

    Article  Google Scholar 

  30. Nychis, G.P., Fallin, C., Moscibroda, T., Mutlu, O., Seshan, S.: On-chip networks from a networking perspective: congestion and scalability in many-core interconnects. In: ACM SIGCOMM, pp. 407–418 (2012)

    Article  Google Scholar 

  31. Pan, Y., Kumar, P., Kim, J., Memik, G., Zhang, Y., Choudhary, A.: Firefly: illuminating future network-on-chip with nanophotonics. ACM SIGARCH Comput. Archit. News 37, 429–440 (2009)

    Article  Google Scholar 

  32. Pavlidis, V.F., Savidis, I., Friedman, E.G.: Three-dimensional Integrated Circuit Design. Newnes (2017)

    Google Scholar 

  33. Pile, D.: Integrated photonics: compact multiplexing. Nat. Photonics 9(2), 78 (2015)

    Article  Google Scholar 

  34. Ramini, L., Bertozzi, D., Carloni, L.P.: Engineering a bandwidth-scalable optical layer for a 3D multi-core processor with awareness of layout constraints. In: IEEE/ACM International Symposium NoCS, pp. 185–192 (2012)

    Google Scholar 

  35. Vantrease, D., et al.: Corona: system implications of emerging nanophotonic technology. ACM SIGARCH Comput. Archit. News 36, 153–164 (2008)

    Article  Google Scholar 

  36. Wang, X., Yang, M., Jiang, Y., Liu, P.: On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub-networks. Microprocess. Microsyst. 35(2), 119–129 (2011)

    Article  Google Scholar 

  37. Wang, Z., Gu, H., Yang, Y., Zhang, H., Chen, Y.: An adaptive partition-based multicast routing scheme for mesh-based networks-on-chip. Comput. Electr. Eng. 51, 235–251 (2016)

    Article  Google Scholar 

  38. Wu, C.W., Lee, K.J., Su, A.P.: A hybrid multicast routing approach with enhanced methods for mesh-based networks-on-chip. IEEE Trans. Comput. 1, 1 (2018)

    MathSciNet  MATH  Google Scholar 

  39. Yang, W., Chen, Y., Huang, Z., Zhang, H.: RWADMM: routing and wavelength assignment for distribution-based multiple multicasts in ONoC. In: IEEE International Conference on ISPA/IUCC, pp. 550–557 (2017)

    Google Scholar 

  40. Yang, W., Chen, Y., Huang, Z., Zhang, H., Huaxi, G.: Path-based routing and wavelength assignment for multiple multicasts in an ONoC. In: IEEE International Conference on HPCC (2019)

    Google Scholar 

  41. Zhang, L., Yang, M., Jiang, Y., Regentova, E.: Architectures and routing schemes for optical network-on-chips. Comput. Electr. Eng. 35(6), 856–877 (2009)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Wen Yang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Yang, W., Chen, Y., Huang, Z., Zhang, H., Gu, H., Yu, C. (2020). A Survey of Multicast Communication in Optical Network-on-Chip (ONoC). In: Shen, H., Sang, Y. (eds) Parallel Architectures, Algorithms and Programming. PAAP 2019. Communications in Computer and Information Science, vol 1163. Springer, Singapore. https://doi.org/10.1007/978-981-15-2767-8_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-15-2767-8_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-15-2766-1

  • Online ISBN: 978-981-15-2767-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics