Skip to main content

RRW: A Reliable Ring Waveguide-Based Optical Router for Photonic Network-on-Chips

  • Conference paper
  • First Online:
Parallel Architectures, Algorithms and Programming (PAAP 2020)

Abstract

Previously, Photonic Network-on-Chip (PNoC) was proposed to solve the serious bottlenecks experienced by the traditional Electrical Network-on-Chips (ENoCs) such as End-to-End (ETE) delay, bandwidth and energy consumption. Therefore, it has attracted significant interest in the past few years. Optical Routers (ORs) are the essential components of a PNoC. One of ORs’ major challenges is reliability. Optical routers are constructed by some optical devices, such as waveguides, and Microring Resonators (MRs). If one of these components; especially MRs, suffer from a breakdown due to thermal or tuning issues, the entire network will breakdown as well. Some optical routers and routing algorithms have been proposed to overcome such dilemma. However, in this paper we propose a universal method that can be implemented in any optical router in order to increase its reliability, without exposing it to additional contention or blocking issues. We implement a ring waveguide with a limited number of MRs to any router to provide a backup path for any faulty port-to-port communication. This method does not affect the normal flow of signals within the original router. To evaluate the efficiency of this method we implemented it into two known non-reliable optical routers, and compared them with two existing reliable optical routers. The results show that optical routers based on our method enjoy the least worst-case insertion loss, and crosstalk compared to the other two reliable routers.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Wu, C., et al.: A multi-objective model oriented mapping approach for NoC-based computing systems. IEEE Trans. Parallel Distrib. Syst. 28(3), 662–676 (2017)

    Article  Google Scholar 

  2. Baharloo, M., Aligholipour, R., Abdollahi, M., Khonsari, A.: ChangeSUB: a power efficient multiple network-on-chip architecture. Comput. Electr. Eng. 83, 106578 (2020)

    Article  Google Scholar 

  3. Meindl, J.: Interconnect opportunities for gigascale integration. Micro, IEEE 23(3), 28–35 (2003)

    Article  Google Scholar 

  4. Barwicz, T., Byun, H., Gan, F., et al.: Silicon photonics for compact, energy-efficient interconnects [Invited]. J. Opt. Networking. 6(1), 63–73 (2007)

    Article  Google Scholar 

  5. Abdollahi, M., Mohammadi, S.: Insertion loss-aware application mapping onto the optical Cube-Connected Cycles architecture. Comput. Electr. Eng. 82, 106559 (2020)

    Article  Google Scholar 

  6. Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)

    Article  MathSciNet  Google Scholar 

  7. Yang, W., Chen, Y., Huang, Z., Zhang, H., Gu, H., Yu, C.: A survey of multicast communication in Optical Network-on-Chip (ONoC). In: Shen, H., Sang, Y. (eds.) PAAP 2019. CCIS, vol. 1163, pp. 58–70. Springer, Singapore (2020). https://doi.org/10.1007/978-981-15-2767-8_6

    Chapter  Google Scholar 

  8. Guo, L., Hou, W., Guo, P.: Designs of 3D mesh and torus optical Network-on-Chips: topology, optical router and routing module. China Commun. 14(5), 17–29 (2017)

    Article  Google Scholar 

  9. Sun, S., et al.: Hybrid photonic-plasmonic nonblocking broadband 5 × 5 router for optical networks. IEEE Photonics J. 10, 1–2 (2018)

    Google Scholar 

  10. Shi, X., Wu, N., Ge, F., Yan, G., Xing, Y., Ma, X.: Srax: a low crosstalk and insertion loss 5 × 5 optical router for optical network-on-chip. In: IECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society, pp. 3102–3105 (2019)

    Google Scholar 

  11. Yahya, M.R., Wu, N., Fang, Z., Ge, F., Shah, M.H.: A low insertion loss 5 × 5 optical router for mesh photonic network-on-chip topology. In: IEEE Conference on Sustainable Utilization and Development in Engineering and Technologies (CSUDET), pp. 164–169 (2019)

    Google Scholar 

  12. Ye, Y., et al.: System-level modeling and analysis of thermal effects in WDM-based optical networks-on-chip. IEEE Trans Comput. Aided Des. Integr. Circ. Syst. 33(11), 1718–1731 (2014)

    Article  Google Scholar 

  13. Meyer, M.C., Ahmed, A.B., Okuyama, Y., Abdallah, A.B.: FTTDOR: microring fault-resilient optical router for reliable optical network-on-chip systems. In: Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Syst.-on-Chip, pp. 227–234 (2015)

    Google Scholar 

  14. Datta, I., Datta, D., Pande, P.P.: Design methodology for optical interconnect topologies in NoCs with BER and transmit power constraints. IEEE J. Lightwave Technol. 32(1), 163–175 (2014)

    Article  Google Scholar 

  15. Hu, Z.S., Hung, F.Y., Chen, K.J., Chang, S.J., Hsieh, W.K., Liao, T.Y.: Improvement in thermal degradation of zno photodetector by embedding silver oxide nanoparticles. Funct. Mater. Lett. 6(01), 1350001 (2013)

    Article  Google Scholar 

  16. Loh, P.K.K., Hsu, W.J.: Design of a viable fault-tolerant routing strategy for optical-based grids. In: Guo, M., Yang, L.T. (eds.) Parallel and Distributed Processing and Applications. ISPA 2003. Lecture Notes in Computer Science, vol. 2745, pp. 112–126. Springer, Heidelberg (2003). https://doi.org/10.1007/3-540-37619-4_13

  17. Xingyun, Q., Quanyou, F., Yongran, C., Qiang, D., Wenhua, D.: A fault tolerant bufferless optical interconnection network. In: Eighth IEEE/ACIS International Conference on Computer and Information Science, 2009. ICIS 2009, pp. 249–254. IEEE (2009)

    Google Scholar 

  18. Tinati, M., Koohi, S., Hessabi, S.: Low-overhead thermally resilient optical network-on-chip architecture. Nano Commun. Netw. 20, 31–47 (2019)

    Article  Google Scholar 

  19. Guo, P.: Fault-tolerant routing mechanism in 3D optical network-on-chip based on node reuse. IEEE Trans. Parallel Distrib. Syst. 31(3), 547–564 (2020)

    Article  Google Scholar 

  20. Min, R., Ji, R.Q., Chen, Q.S., Zhang, L., Yang, L.: A universal method for constructing N-port nonblocking optical router for photonic networks-on-chip. J. Lightwave Technol. 30(23), 3736–3741 (2012)

    Article  Google Scholar 

  21. Zhu, K., Gu, H., Yang, Y., Tan, W., Zhang, B.: A 3D multilayer optical network on chip based on mesh topology. Photon Netw. Commun. 32(2), 293–299 (2016). https://doi.org/10.1007/s11107-016-0627-2

    Article  Google Scholar 

  22. Chan, J., Hendry, G., Bergman, K., Carloni, L.: Physical-layer modeling and system-level design of chip-scale photonic interconnection networks. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 30(10), 1507–1520 (2011)

    Google Scholar 

  23. Xia, F., Sekaric, L., Vlasov, Y.: Ultracompact optical buffers on a silicon chip. Nat. Photonics 1, 65–71 (2007)

    Article  Google Scholar 

  24. Fusella, E., Cilardo, A.: PhoNoCMap: an application mapping tool for photonic networks-on-chip. In: Design Automation and Test in Europe Conference and Exhibition (DATE), pp. 289–292 (2016)

    Google Scholar 

Download references

Acknowledgment

This work was supported in part by the National Natural Science Foundation of China under Grant 61634004 and 61934002, the Natural Science Foundation of Shaanxi Province for Distinguished Young Scholars under Grant No. 2020JC-26.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Huaxi Gu .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Fadhel, M., Huang, L., Gu, H. (2021). RRW: A Reliable Ring Waveguide-Based Optical Router for Photonic Network-on-Chips. In: Ning, L., Chau, V., Lau, F. (eds) Parallel Architectures, Algorithms and Programming. PAAP 2020. Communications in Computer and Information Science, vol 1362. Springer, Singapore. https://doi.org/10.1007/978-981-16-0010-4_37

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-0010-4_37

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-0009-8

  • Online ISBN: 978-981-16-0010-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics