Skip to main content

2L-2D Routing for Buffered Mesh Network-on-Chip

  • Conference paper
  • First Online:
VLSI Design and Test (VDAT 2019)

Abstract

The rise in complexity and number of processing cores in SoC has paved way to the development of efficient and structured on-chip communication framework known as Network on Chip (NoC). NoC is embraced as an interconnect solution for the design of large tiled chip multiprocessors (TCMP). It is characterized by performance metrics such as average latency, throughput and power dissipation which depend on underlying network architecture. In this paper, we propose 2L-2D (Two Layer Two dimensional) architecture to enhance performance of conventional buffered 2D mesh NoC where two identical layers of 8 \(\times \) 8 meshes are stacked one on top of the other. 2L-2D uses conventional 5-port virtual channel router (VCR) architecture and vertical interconnections are made by utilizing unused ports at edge routers only. Experimental results indicate that our proposed approach improves throughput and network saturation point whereas average flit latency and power dissipation is considerably reduced when compared with standard 5-port 2D mesh and torus designs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Dally, W., et al.: Principles and Practices of Interconnection Networks. Morgan Kaufmann, Burlington (2004)

    Google Scholar 

  2. Dally, W.: Route packets, not wires: on-Chip interconnection networks. In: Design Automation Conference (DAC-2001), pp. 684–689. ACM Press, New York, June 2001. https://doi.org/10.1109/DAC.2001.156225

  3. Dally, W.: Virtual-channel flow control. IEEE Trans. Parallel Distrib. Syst. 3(2), 194–205 (1992). https://doi.org/10.1109/71.127260

    Article  Google Scholar 

  4. Topol, A.W., et al.: Three-dimensional integrated circuits. IBM J. Res. Dev. 50(4/5) (2006). https://doi.org/10.1147/rd.504.0491

    Article  Google Scholar 

  5. Davis, W.R., et al.: Demystifying 3D ICS: the pros and cons of going vertical. IEEE Des. Test Comput. 22(6), 498–510 (2005). https://doi.org/10.1109/MDT.2005.136

    Article  Google Scholar 

  6. Li, F., et al.: Design and management of 3D chip multiprocessors using network-in-memory. In: Proceedings of International Symposium Computer Architecture, pp. 130–141 (2006). https://doi.org/10.1109/ISCA.2006.18

  7. Pavlidis, V.F., et al.: 3-D topologies for networks-on-chip. IEEE Trans. Very Large Scale Integr. (VLSI 2007), 1081–1090 (2007). https://doi.org/10.1109/TVLSI.2007.893649

    Article  Google Scholar 

  8. Kim, J., et al.: A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In: Proceedings of International Symposium on Computer Architecture, pp. 138–149 (2007). https://doi.org/10.1145/1273440.1250680

    Article  Google Scholar 

  9. Park, D., et al.: MIRA: a multi-layered on-chip interconnect router architecture. In: Proceedings of International Symposium on Computer Architecture, pp. 251–261 (2008). https://doi.org/10.1109/ISCA.2008.13

  10. Feero, B.S., et al.: Networks-on-chip in a three-dimensional environment: a performance evaluation. IEEE Trans. Comput. 32–45 (2009). https://doi.org/10.1109/TC.2008.142

    Article  MathSciNet  Google Scholar 

  11. Manna, K., et al.: Thermal-aware design and test techniques for two- and three-dimensional networks-on-chip. In: 2016 ISVLSI, pp. 583–586 (2016). https://doi.org/10.1109/ISVLSI.2016.76

  12. Xu, T., et al.: A study of through silicon via impact to 3D network-on-chip design. In: Proceedings of Conference on Electronics Information Engineering, pp. 333–337 (2010). https://doi.org/10.1109/ICEIE.2010.5559865

  13. Wang, Y., et al.: Economizing TSV resources in 3D network-on-chip design. IEEE Trans. Very Large Scale Integr. Syst. 23(3), 493–506 (2015). https://doi.org/10.1109/TVLSI.2014.2311835

    Article  Google Scholar 

  14. More, A., et al.: Vertical arbitration-free 3-D NoCs. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 37(9), 1853–1866 (2018). https://doi.org/10.1109/TCAD.2017.2768415

    Article  Google Scholar 

  15. Agyeman, M.O., et al.: Performance and energy aware inhomogeneous 3D networks-on-chip architecture generation. IEEE Trans. Parallel Distrib. Syst. 27(6), 1756–1769 (2016). https://doi.org/10.1109/TPDS.2015.2457444

    Article  Google Scholar 

  16. Hoskote, Y., et al.: A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27(5), 51–61 (2007). https://doi.org/10.1109/MM.2007.4378783

    Article  Google Scholar 

  17. Taylor, M.B., et al.: Evaluation of the raw microprocessor: an exposed wire-delay architecture for ILP and streams. In: ISCA 2004. https://doi.org/10.1109/ISCA.2004.1310759

  18. Jiang, N., et al.: A detailed and flexible cycle-accurate network-on-chip simulator. In: IEEE International Symposium on Performance Analysis of Systems and Software (2013). https://doi.org/10.1109/ISPASS.2013.6557149

  19. SPEC2006 CPU benchmark suite. http://www.spec.org

  20. Bienia, C., et al.: The PARSEC benchmark suite: characterization and architectural implications. In: PACT, pp. 72–81 (2008)

    Google Scholar 

  21. Ubal, R., et al.: Multi2sim: a simulation framework to evaluate multicore-multithreaded processors. In: SBAC-PAD, pp. 62–68 (2007). https://doi.org/10.1109/SBAC-PAD.2007.17

  22. Kahng, A.B., et al.: ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Design, Automation Test in Europe (DATE), pp. 423–428 (2009). https://doi.org/10.1109/DATE.2009.5090700

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rose George Kunthara .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Kunthara, R.G., Neethu, K., James, R.K., Sleeba, S.Z., Warrier, T.S., Jose, J. (2019). 2L-2D Routing for Buffered Mesh Network-on-Chip. In: Sengupta, A., Dasgupta, S., Singh, V., Sharma, R., Kumar Vishvakarma, S. (eds) VLSI Design and Test. VDAT 2019. Communications in Computer and Information Science, vol 1066. Springer, Singapore. https://doi.org/10.1007/978-981-32-9767-8_27

Download citation

  • DOI: https://doi.org/10.1007/978-981-32-9767-8_27

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-32-9766-1

  • Online ISBN: 978-981-32-9767-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics