Skip to main content

Performance Modelling and Dynamic Scheduling on Heterogeneous-ISA Multi-core Architectures

  • Conference paper
  • First Online:
VLSI Design and Test (VDAT 2019)

Part of the book series: Communications in Computer and Information Science ((CCIS,volume 1066))

Included in the following conference series:

Abstract

Heterogeneous-ISA multi-core architectures have emerged as a promising design paradigm given the ever-increasing demands on single threaded performance. Such architectures comprise multiple cores that differ not just in micro-architectural parameters (e.g., fetch width, ROB size) but also in their Instruction Set Architectures (ISAs). These architectures extract previously latent performance gains by executing different phases of the program on the core (and ISA) best suited to it, as opposed to executing the entire program on a single ISA. In such a computing paradigm, maximum performance is only extracted when we ensure that at every point in the program’s execution, the program runs on the core best suited to it. In this work, we propose a migration framework that practically and accurately decides when to migrate the program across different cores (and ISAs) to extract maximum performance gains. Under the covers, this framework combines a regression based performance modelling technique with a greedy scheduling algorithm. Our performance modelling technique leverages hardware performance counters prevalent in all major processors today to accurately estimate the performance of the program on different ISAs to within an error of 6%. Putting it together with our greedy scheduler enables our framework to achieve single thread performance speedups of 29.6% with respect to a baseline single ISA heterogeneous architecture.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Binkert, N., et al.: The gem5 simulator. ACM SIGARCH Comput. Archit. News 39, 1–7 (2011)

    Article  Google Scholar 

  2. Boran, N.K., Meghwal, R.P., Sharma, K., Kumar, B., Singh, V.: Performance modelling of heterogeneous ISA multicore architectures. In: 2016 IEEE East-West Design & Test Symposium (EWDTS), pp. 1–4. IEEE (2016)

    Google Scholar 

  3. DeVuyst, M., Venkat, A., Tullsen, D.M.: Execution migration in a heterogeneous-ISA chip multiprocessor. ACM SIGARCH Comput. Archit. News 40(1), 261–272 (2012)

    Article  Google Scholar 

  4. Henning, J.L.: SPEC CPU2006 benchmark descriptions. SIGARCH Comput. Archit. News (2006). https://doi.org/10.1145/1186736.1186737

    Article  Google Scholar 

  5. Ipek, E., Kirman, M., Kirman, N., Martinez, J.F.: Core fusion: accommodating software diversity in chip multiprocessors. ACM SIGARCH Comput. Archit. News 35(2), 186–197 (2007)

    Article  Google Scholar 

  6. Kumar, R., Farkas, K.I., Jouppi, N.P., Ranganathan, P., Tullsen, D.M.: Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction. In: Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-36, pp. 81–92. IEEE (2003)

    Google Scholar 

  7. Lee, W., Sunwoo, D., Emmons, C.D., Gerstlauer, A., John, L.: Exploring opportunities for heterogeneous-ISA core architectures in high-performance mobile SoCs. Technical Report UT-CERC-17-01, The University of Texas At Austin (2017)

    Google Scholar 

  8. Li, S., Ahn, J.H., Strong, R.D., Brockman, J.B., Tullsen, D.M., Jouppi, N.P.: McPat: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In: Proceedings of 42nd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-42, pp. 469–480. IEEE (2009)

    Google Scholar 

  9. Lukefahr, A., et al.: Composite cores: pushing heterogeneity into a core. In: MICRO-45. IEEE Computer Society, Washington, DC (2012). https://doi.org/10.1109/MICRO.2012.37

  10. Patterson, D.: The trouble with multicore. IEEE Spectr. 47, 28–32, 53 (2010). https://doi.org/10.1109/MSPEC.2010.5491011

    Article  Google Scholar 

  11. Pricopi, M., Muthukaruppan, T.S., Venkataramani, V., Mitra, T., Vishin, S.: Power-performance modeling on asymmetric multi-cores. In: 2013 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), pp. 1–10. IEEE (2013)

    Google Scholar 

  12. Sprunt, B.: The basics of performance-monitoring hardware. IEEE Micro 22(4), 64–71 (2002)

    Article  Google Scholar 

  13. Taylor, M.B., et al.: Evaluation of the raw microprocessor: an exposed-wire-delay architecture for ILP and streams. In: 31st International Symposium on Computer Architecture (ISCA 2004), 19–23 June 2004, Munich, Germany (2004)

    Google Scholar 

  14. Van Craeynest, K., Jaleel, A., Eeckhout, L., Narvaez, P., Emer, J.: Scheduling heterogeneous multi-cores through performance impact estimation (PIE). ACM SIGARCH Comput. Archit. News 40(3), 213–224 (2012)

    Article  Google Scholar 

  15. Venkat, A., Tullsen, D.M.: Harnessing ISA diversity: design of a heterogeneous-ISA chip multiprocessor. In: 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA), pp. 121–132. IEEE (2014)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Nirmal Kumar Boran .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Boran, N.K., Yadav, D.K., Iyer, R. (2019). Performance Modelling and Dynamic Scheduling on Heterogeneous-ISA Multi-core Architectures. In: Sengupta, A., Dasgupta, S., Singh, V., Sharma, R., Kumar Vishvakarma, S. (eds) VLSI Design and Test. VDAT 2019. Communications in Computer and Information Science, vol 1066. Springer, Singapore. https://doi.org/10.1007/978-981-32-9767-8_58

Download citation

  • DOI: https://doi.org/10.1007/978-981-32-9767-8_58

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-32-9766-1

  • Online ISBN: 978-981-32-9767-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics