Skip to main content

Absorb: Deadlock Resolution for 2.5D Modular Chiplet Based Systems

  • Conference paper
  • First Online:
Algorithms and Architectures for Parallel Processing (ICA3PP 2023)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 14487))

  • 122 Accesses

Abstract

With Moore’s Law slowing down, the development of SoCs has encountered a bottleneck. Integrating more functional units and larger on-chip storage leads to a dramatic increase in chip area, resulting in lower chip yields and higher costs. Most researches and industry products began to seek to use advanced connection and packaging technologies to decompose the raw chip into multiple smaller, higher yield, and more cost-effective chiplets, and then packet them. Interposer-based 2.5D integration, as an emerging packaging technology, is widely used in chiplet-based systems. However, even if both the interposer and chiplets are deadlock-free, deadlock dependency cycles across them may still occur after integration. To address these problems, this paper proposes a deadlock resolution called Absorb for 2.5D integrated chiplet systems, which is different from deadlock avoidance and deadlock recovery. By regularly absorbing inter-chiplet packets, global deadlock freedom is achieved, and no extra VCs are for deadlock resolution. Our proposed Absorb maintains the modularity of each chiplet and imposes no restrictions on the routing algorithm. Our evaluations show that compared with the previously proposed deadlock-free designs in 2.5D-chiplet systems, Absorb provides an average performance improvement of about 7.5%, and the area overhead is less than 6%.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 59.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 79.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Agarwal, N., Krishna, T., Peh, L.S., et al.: GARNET: a detailed on-chip network model inside a full-system simulator. In: 2009 IEEE International Symposium on Performance Analysis of Systems and Software, pp. 33–42. IEEE (2009)

    Google Scholar 

  2. Binkert, N., Beckmann, B., Black, G., et al.: The gem5 simulator. ACM SIGARCH Comput. Archit. News 39(2), 1–7 (2011)

    Article  Google Scholar 

  3. Dally, W.J., Seitz, C.L.: Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. C-36(5), 547–553 (1988)

    Google Scholar 

  4. Duato, J.: A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans. Parallel Distrib. Syst. 4(12), 1320–1331 (1993)

    Article  Google Scholar 

  5. Duato, J., Pinkston, T.M.: A general theory for deadlock-free adaptive routing using a mixed set of resources. IEEE Trans. Parallel Distrib. Syst. 12(12), 1219–1235 (2001)

    Article  Google Scholar 

  6. Fallin, C., Craik, C., Mutlu, O.: CHIPPER: a low-complexity bufferless deflection router. In: 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pp. 144–155. IEEE (2011)

    Google Scholar 

  7. Ramrakhyani, A., Krishna, T.: Static bubble: a framework for deadlock-free irregular on-chip topologies. In: 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 253–264. IEEE (2017)

    Google Scholar 

  8. Chen, L., Wang, R., Pinkston, T.M.: Critical bubble scheme: an efficient implementation of globally aware network flow control. In: 2011 IEEE International Parallel & Distributed Processing Symposium, pp. 592–603. IEEE (2011)

    Google Scholar 

  9. Ramrakhyani, A., Gratz, P.V., Krishna, T.: Synchronized progress in interconnection networks (SPIN): a new theory for deadlock freedom. In: 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), pp. 699–711. IEEE (2018)

    Google Scholar 

  10. Parasar, M., Jerger, N.E., Gratz, P.V., et al.: SWAP: synchronized weaving of adjacent packets for network deadlock resolution. In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 873–885 (2019)

    Google Scholar 

  11. Parasar, M., Farrokhbakht, H., Jerger, N.E., et al.: DRAIN: deadlock removal for arbitrary irregular networks. In: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 447–460. IEEE (2020)

    Google Scholar 

  12. Yin, J., Lin, Z., Kayiran, O., et al.: Modular routing design for chiplet-based systems. In: 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), pp. 726–738. IEEE (2018)

    Google Scholar 

  13. Majumder, P., Kim, S., Huang, J., et al.: Remote control: a simple deadlock avoidance scheme for modular systems-on-chip. IEEE Trans. Comput. 70(11), 1928–1941 (2020)

    Article  MathSciNet  Google Scholar 

  14. Taheri, E., Pasricha, S., Nikdast, M.: DeFT: a deadlock-free and fault-tolerant routing algorithm for 2.5 D Chiplet Networks. In: 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1047–1052. IEEE (2022)

    Google Scholar 

  15. Wu, Y., Wang, L., Wang, X., et al.: Upward packet popup for deadlock freedom in modular chiplet-based systems. In: 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA), pp. 986–1000. IEEE (2022)

    Google Scholar 

  16. Zhan, X., Bao, Y., Bienia, C., et al.: PARSEC3. 0: a multicore benchmark suite with network stacks and SPLASH-2X. ACM SIGARCH Comput. Archit. News 44(5), 1–16 (2017)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Yi Yang or Yanqiang Sun .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2024 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Yang, Y., Li, T., Dai, Y., Wang, B., Ma, S., Sun, Y. (2024). Absorb: Deadlock Resolution for 2.5D Modular Chiplet Based Systems. In: Tari, Z., Li, K., Wu, H. (eds) Algorithms and Architectures for Parallel Processing. ICA3PP 2023. Lecture Notes in Computer Science, vol 14487. Springer, Singapore. https://doi.org/10.1007/978-981-97-0834-5_27

Download citation

  • DOI: https://doi.org/10.1007/978-981-97-0834-5_27

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-97-0833-8

  • Online ISBN: 978-981-97-0834-5

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics