Skip to main content

CSDSE: Apply Cooperative Search to Solve the Exploration-Exploitation Dilemma of Design Space Exploration

  • Conference paper
  • First Online:
Algorithms and Architectures for Parallel Processing (ICA3PP 2023)

Abstract

The design and optimization of deep neural network accelerators should sufficiently consider numerous design parameters and physical constraints that render their design spaces massive in scale and complicated in distribution. When confronted with the massive and complicated design spaces, previous works on design space exploration suffer from the exploration-exploitation dilemma and are unable to simultaneously assure optimization efficiency and stability. In order to solve the exploration-exploitation dilemma, we present a novel design space exploration method named CSDSE. CSDSE implements heterogeneous agents separately responsible for exploration or exploitation to search the design space cooperatively and introduces a weighted compact buffer that encourages agents to search in diverse directions and bolsters their global exploration ability. CSDSE is implemented to enhance accelerator design. Compared to former methods, it achieves latency speedups of up to 6.1x and energy reductions of up to 1.3x in different constraint scenarios.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 59.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 79.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abdelfattah, M.S., Dudziak, Ł., Chau, T., Lee, R., Kim, H., Lane, N.D.: Best of both worlds: AutoML codesign of a CNN and its hardware accelerator. In: 2020 57th ACM/IEEE Design Automation Conference (DAC), pp. 1–6. IEEE (2020)

    Google Scholar 

  2. Badia, A.P., et al.: Never give up: learning directed exploration strategies. arXiv preprint arXiv:2002.06038 (2020)

  3. Bergstra, J., Yamins, D., Cox, D.: Making a science of model search: hyperparameter optimization in hundreds of dimensions for vision architectures. In: International Conference on Machine Learning, pp. 115–123. PMLR (2013)

    Google Scholar 

  4. Chen, Y.H., Krishna, T., Emer, J.S., Sze, V.: Eyeriss: an energy-efficient reconfigurable accelerator for deep convolutional neural networks. IEEE J. Solid-State Circuits 52(1), 127–138 (2016)

    Article  Google Scholar 

  5. Cong, J., Wang, J.: PolySA: polyhedral-based systolic array auto-compilation. In: 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8. IEEE (2018)

    Google Scholar 

  6. Dave, S., Kim, Y., Avancha, S., Lee, K., Shrivastava, A.: dMazeRunner: executing perfectly nested loops on dataflow accelerators. ACM Trans. Embed. Comput. Syst. 18(5s), 70 (2019). https://doi.org/10.1145/3358198

  7. Feng, K., Fan, X., An, J., Li, C., Di, K., Li, J.: ACDSE: a design space exploration method for CNN accelerator based on adaptive compression mechanism. ACM Trans. Embed. Comput. Syst. (2022). https://doi.org/10.1145/3545177, Just Accepted

  8. Feng, K., et al.: ERDSE: efficient reinforcement learning based design space exploration method for CNN accelerator on resource limited platform. Graph. Vis. Comput. 4, 200024 (2021)

    Article  Google Scholar 

  9. Gao, Y., Chen, L., Li, B.: Spotlight: optimizing device placement for training deep neural networks. In: International Conference on Machine Learning, pp. 1676–1684. PMLR (2018)

    Google Scholar 

  10. Guo, Y., et al.: Memory based trajectory-conditioned policies for learning from sparse rewards. Adv. Neural. Inf. Process. Syst. 33, 4333–4345 (2020)

    Google Scholar 

  11. Haarnoja, T., Zhou, A., Abbeel, P., Levine, S.: Soft actor-critic: off-policy maximum entropy deep reinforcement learning with a stochastic actor. In: International Conference on Machine Learning, pp. 1861–1870. PMLR (2018)

    Google Scholar 

  12. Jazzbin, et al.: geatpy: the genetic and evolutionary algorithm toolbox with high performance in Python (2020)

    Google Scholar 

  13. Jouppi, N.P., et al.: In-datacenter performance analysis of a tensor processing unit. In: Proceedings of the 44th Annual International Symposium on Computer Architecture, pp. 1–12 (2017)

    Google Scholar 

  14. Kao, S.C., Jeong, G., Krishna, T.: ConfuciuX: autonomous hardware resource assignment for DNN accelerators using reinforcement learning. In: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 622–636. IEEE (2020)

    Google Scholar 

  15. Kao, S.C., Krishna, T.: GAMMA: automating the HW mapping of DNN models on accelerators via genetic algorithm. In: 2020 IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 1–9. IEEE (2020)

    Google Scholar 

  16. Krishnan, S., et al.: Multi-agent reinforcement learning for microprocessor design space exploration (2022)

    Google Scholar 

  17. Kwon, H., Chatarasi, P., Pellauer, M., Parashar, A., Sarkar, V., Krishna, T.: Understanding reuse, performance, and hardware cost of DNN dataflow: a data-centric approach. In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, pp. 754–768 (2019)

    Google Scholar 

  18. Lin, Y., Yang, M., Han, S.: NAAS: neural accelerator architecture search. In: 2021 58th ACM/IEEE Design Automation Conference (DAC), pp. 1051–1056. IEEE (2021)

    Google Scholar 

  19. Muñoz-Martínez, F., Abellán, J.L., Acacio, M.E., Krishna, T.: STONNE: enabling cycle-level microarchitectural simulation for DNN inference accelerators. IEEE Comput. Archit. Lett. 20(2), 122–125 (2021). https://doi.org/10.1109/LCA.2021.3097253

  20. NVIDIA: Nvidia deep learning accelerator (2017). http://nvdla.org/

  21. Oh, J., Guo, Y., Singh, S., Lee, H.: Self-imitation learning. In: International Conference on Machine Learning, pp. 3878–3887. PMLR (2018)

    Google Scholar 

  22. Reagen, B., et al.: A case for efficient accelerator design space exploration via Bayesian optimization. In: 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 1–6. IEEE (2017)

    Google Scholar 

  23. Schulman, J., Wolski, F., Dhariwal, P., Radford, A., Klimov, O.: Proximal policy optimization algorithms. arXiv preprint arXiv:1707.06347 (2017)

  24. Shao, Y.S., Xi, S.L., Srinivasan, V., Wei, G.Y., Brooks, D.: Co-designing accelerators and SoC interfaces using gem5-Aladdin. In: 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp. 1–12. IEEE (2016)

    Google Scholar 

  25. Tan, M., et al.: MnasNet: platform-aware neural architecture search for mobile. In: Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, pp. 2820–2828 (2019)

    Google Scholar 

  26. Zheng, S., Liang, Y., Wang, S., Chen, R., Sheng, K.: FlexTensor: an automatic schedule exploration and optimization framework for tensor computation on heterogeneous system, ASPLOS 2020, pp. 859–873. Association for Computing Machinery, New York, NY, USA (2020)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kaijie Feng .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2024 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Feng, K., Fan, X., An, J., Wang, H., Li, C. (2024). CSDSE: Apply Cooperative Search to Solve the Exploration-Exploitation Dilemma of Design Space Exploration. In: Tari, Z., Li, K., Wu, H. (eds) Algorithms and Architectures for Parallel Processing. ICA3PP 2023. Lecture Notes in Computer Science, vol 14490. Springer, Singapore. https://doi.org/10.1007/978-981-97-0859-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-981-97-0859-8_1

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-97-0858-1

  • Online ISBN: 978-981-97-0859-8

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics