Skip to main content
Log in

Abstract

In the past decade the main engine of electronic design automation has been the widespread application of ASICs (Application Specific Integrated Circuits). Present technology supports complete systems on a chip, most often used as so-called embedded systems in an increasing number of applications. Embedded systems pose new design challenges which we believe will be the driving forces of design automation in the years to come. These include the design of electronic systems hardware, embedded software and hardware / software codesign. This paper explores the novel technical challenges in embedded system design and presents experiences and results of the work in this area using the CASTLE system. CASTLE supports the design of complex embedded systems and the design of the required tools. It provides a central design representation, Verilog, VHDL and C/C++ frontends, Hardware generation in VHDL and BLIF, a retargetable compiler backend and several analysis and visualization tools. Two design examples, video compression and a diesel injection control, illustrate the presented concepts.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. B. Ackland, “The Role of VLSI in Multimedia,” IEEE J. Solid-State Circuits, vol. 29, no. 4, April 1994, pp. 381–388.

    Google Scholar 

  2. J. A. Adam, “Special Report Multimedia — Applications, implications,” IEEE Spectrum, March 1993, pp. 24–31.

  3. A.V. Aho, R. Sethi and J.D. Ullman, “Compilers: Principles, Techniques and Tools,” Addison-Wesley, Reading, MA, 1986.

    Google Scholar 

  4. H. Aldermeshian, W.H. Ninke, R.J. Pilc, “The video Communication Decade,” AT&T Technical J., vol.72, no. 1, Jan./Feb. 1993, pp. 2–6.

    Google Scholar 

  5. D. Alpert, D. Avnon, “Architecture of the Pentium Microprocessor,” IEEE Micro, June 1993, pp. 11–21.

  6. M. Antonini, et al., “Image Coding Using Wavelet Transform,” IEEE Trans. Image Processing, vol. 1, no. 2, April 1992, pp. 205–220.

    Google Scholar 

  7. R. Aravind, et al., “Image and video coding standards,” AT&T Technical Journal, vol.72, no.1, pp. 67–89. Jan./Feb. 1993.

    Google Scholar 

  8. E. Barros, “HW / SW Partitioning using UNITY,” PhD Thesis, University of Tuebingen, July 1993.

  9. M. C. Becker, et al., “The PowerPC 601 Microprocessor,” IEEE Micro, vol. 13, no. 5, pp. 54–68, Oct. 1993.

    Google Scholar 

  10. M. Benitez, J. Davidson and A. Holler, “Creating a VPO Machine Description,” Technical Report, Dept. of Computer Science, University of Virginia, 1992.

  11. G. Berry, P. Couronne and G. Gonthier, “Synchronous programming of reactive systems, an introduction to ESTEREL,” in K. Fuchi and M. Nivat (editors): “Programming of Future Generation Computers”, Elsevier, 1988.

  12. J.C. Bier, P.D. Lapsley, E.A. Lee, “Tools and Methodologies for the Design of DSP Systems,” Berkeley Design Technology Inc., Fremont, CA, 1993.

    Google Scholar 

  13. W.P. Birmingham, A.P. Gupta and D.P. Siewiorek, “MICON: Automated Design of Computer Systems,” in R. Camposano and W. Wolf (editors): “High-Level VLSI Synthesis”, Kluwer Academic Publishers, Boston/Dordrecht/London, 1991, pp. 205–229.

    Google Scholar 

  14. I. Bolsens et.al., “Assessment of the Cathedral-II silicon compiler for digital signal processing applications,” ESA Journal, Vol. 15, 1991, pp. 243–260.

    Google Scholar 

  15. G. Borriello, “Combining Event and Data-Flow Graphs in Behavioral Synthesis,” Procedings of the ICCAD'88, Santa Clara, Ca, November, 1988, pp. 56–59.

  16. T. Brodnax, M. Schiffi, F. Watson, “The PowerPC601 Design Methodology,” Proc. of the ICCD'93, IEEEComputer Society Press, 1993, pp. 248–252.

  17. R.K. Brayton, R. Rudell, A. Sangiovanni-Vincentelli, A.R. Wang, “MIS: A Multiple-Level Logic Optimization System,” IEEE Transactions on Computer Aided Design CAD-6(6), November 1987.

  18. D. Bursky, “Alterable RISC Core fines tunes ASIC Architecture,” Electronic Design, vol. 41, no. 3, Feb. 1993, pp. 92–94.

    Google Scholar 

  19. J.P. Calvez, “Embedded Real Time Systems,” Wiley, 1993.

  20. R. Camposano and W. Wolf (editors), “High-Level VLSI Synthesis” Kluwer Academic Publishers, Boston, 1991.

    Google Scholar 

  21. R. Camposano, R. Bergamaschi, C. Haynes, M. Payer, S. Wu, “The IBM High-Level Synthesis System,” in “High-Level VLSI Synthesis” edited by R. Camposano and Wayne Wolf, Kluwer Academic Publishers, Norwell, MA, 1991, pp. 79–104.

    Google Scholar 

  22. R. Camposano, “High-Level Synthesis,” in A. Kent, J.G. Williams (ed.): “Encyclopedia of Computer Science and Technology”, vol. 28, suppl. 28, Marcel Decker, New York, 1993, pp. 129–152.

    Google Scholar 

  23. G.J. Chaitin, M.A. Auslander, A.K. Chandra, J. Cocke, M.E. Hopkins and P.W. Markstein, “Register Allocation via Coloring,” Journal on Computer Languages, Vol. 6, 1981, pp. 47–57.

    Google Scholar 

  24. S. Chakravarty, “A Characterization of Binary Decision Diagrams,” IEEE Trans. Computers, vol. 42, no. 2, Feb. 1993, pp. 129–137.

    Google Scholar 

  25. W.H. Chen, C.H. Smith, S.C. Fralick, “A Fast Computational Algorithm for the Discrete Cosine Transform,” IEEE Trans. Commun., vol. 25, 1977, pp. 1004–1009.

    Google Scholar 

  26. M. Chiodo, P. Giusto, A. Jurecska, H. Haich, L. Lavagno, A. Sangiovanni, “A Formal Methodology for Hardware/Software Co-design of Embedded Systems,” IEEE Micro, vol. 14, no. 4, August 1994, pp. 26–36.

    Google Scholar 

  27. J. Child, “Realtime video compression poses challenges to designers and vendors alike,” Computer Design, July 1993, pp. 67–84.

  28. W.J. McClean (editor), “Status 1993, A Report on the Integrated Circuit Industry,” Integrated Circuit Engineering Corporation ICE, Scottsdale, Arizona, 1993.

    Google Scholar 

  29. J. Cocke, V. Markstein, “The evolution of RISC technology at IBM,” IBM J. Research and Development, vol. 34, no. 1, Jan. 1990, pp. 4–11.

    Google Scholar 

  30. B. Cole, “The technology framework,” IEEE Spectrum, March 1993, pp. 32–39.

  31. R. Comerford, “How DEC developed Alpha,” IEEE Spectrum, July 1992, pp. 26–31.

  32. H. Corporaal, “Code Generation for Transport Triggered Architectures,” Dagstuhl Workshop on Code Generation for Embedded Processors, 1994, to appear.

  33. J.G. D'Ambrosio, X. Hu, “Configuration-Level Hardware/Software Partitioning for Real-Time,” 3rd Int. Workshop on Hardware/Software Codesign, Sept. 22–23, Grenoble, 1994, pp. 34–41.

  34. I. Daubechies, “Ten Lectures on Wavelets,” SIAM, Philadelphia, 1992.

    Google Scholar 

  35. G. De Micheli, “Computer-Aided Hardware-Software Codesign,” IEEE Micro, vol. 14, no. 4, August 1994, pp. 10–16.

    Google Scholar 

  36. “Design Compiler' Reference Manual,” Version 3.1a, Synopsys, Inc., Mountain View, CA, 1994.

  37. “Design Ware Databook,” “Synopsys” Inc., vers. 3.1a, March 1994.

  38. “DSP56000/DSP56001: Digital Signal Processor User's Manual,” Rev. 2, Motorola, 1990.

  39. P. Eles, Z. Peng, A. Doboli, “VHDL System-Level Specification and Partitioning in a Hardware/Software Co-Synthesis Environment,” 3rd Int. Workshop on Hardware/Software Codesign, Sept. 22–23, Grenoble, 1994, pp. 49–55.

  40. “Embedded Microcontrollers and Processors,” vol. I–II, Intel, 1993.

  41. “Enterprise Emulation System,” Quickturn Design Systems, Inc., Mountain View, CA 94043, 1993.

  42. R. Ernst, J. Henkel and Th. Benner, “HW/SW cosynthesis for microcontrollers,” IEEE Design & Test, Dec. 1993, pp. 64–75.

  43. “Explorer Autologic',” Mentor Graphics Manual, vers. 5.2, 1992.

  44. “Explorer LogicLib',” Mentor Graphics Manual, vers. 5.2, 1992.

  45. M.C. McFarland, A. C. Parker, R. Camposano, “The High-Level Synthesis of Digital Systems,” Proc. IEEE, vol. 78ww, no. 2, Feb. 1990, pp. 301–318.

    Google Scholar 

  46. M.C. McFarland, “Formal Verification of Sequential Hardware: A Tutorial,” IEEE Trans. CAD, vol. 12, no. 5, May 1993, pp. 633–654.

    Google Scholar 

  47. R. L. Fetterman, S. K. Gupta, “Mainstream Multimedia: Applying Multimedia in Business,” Van Nostrad Reinhold, NY, 1993.

    Google Scholar 

  48. M.J. Flynn, “Some computer organizations and their effectiveness,” IEEE Transactions on Computers, C-21(9), September 1972, pp. 948–960.

  49. D.J. Le Gall, “The MPEG video compression algorithm,” Signal Processing: Image Communication, vol. 4, 1992, pp. 129–140.

    Google Scholar 

  50. M. Ganapathi and C. Fischer, “Bibliography on automated retargetable code generation,” ACM Sigplan Notices, Vol. 16(10), 1981, pp. 9–12.

    Google Scholar 

  51. M. Ganapathi and C. Fischer, “Attributed Linear Intermediate Representations for Retargetable Code Generators,” Software Practices and Experiments, Vol. 14(4), 1984, pp. 347–364.

    Google Scholar 

  52. A. Gersho, R. M. Gray, “Vector Quantization and Signal Compression,” 1992.

  53. G. Goossens, et al., “Integration of medium-throughput signal processing algorithms on flexible instruction-set architectures,” to appear in J. VLSI Signal Processing (special issue in synthesis for real-time DSP), 1993.

  54. R.K. Gupta and G. De Micheli: “System Synthesis via HW / SW Codesign Tech. Report CSL-TR-92-548”, Computer Systems Laboratory, Stanford University, October 1992.

  55. N. Halbwachs, “Synchronous Programming of Reactive Systems,” Kluwer, Dordrecht, The Netherlands, 1993.

    Google Scholar 

  56. T.R. Halfhill, “AMD vs. Superman,” BYTE, vol. 19, no. 11, Nov. 1994, pp. 95–101.

    Google Scholar 

  57. T.R. Halfhill, “T5: Brute Force,” BYTE, vol. 19, no. 11, Nov. 1994, pp. 123–128.

    Google Scholar 

  58. B. R. Halhed, D. L. Scott, “Videoconferencing Market Trends,” Business Communication Review, vol. 21, no. 10, Oct. 1991, pp. 51–56.

    Google Scholar 

  59. W. Hardt, R. Camposano, “Trade-Offs in HW/SW Codesign,” Proc. of the ACM Workshop on Hardware/Software Codesign, Cambridge, MA, October 7–8, 1993. (also available as technical report SFB 358-B2-3/93, TU Dresden)

  60. D. Harel, “Biting the Silver Bullet: Toward a Brighter Future for System Development,” IEEE Computer, January 1992, pp. 8–20.

  61. M. Harrand, et al., “A Single Chip Videophone Video Encoder/Decoder,” Proc. IEEE Int. Solid-State Circuit Conference, Feb. 1995, pp. 292–293.

  62. R. Helaihel, K. A. Olukotun, “Emulation and Prototyping of Digital Systems,” Nato Advanced Study, Institute on HW/SW Codesign, Tiomezzo, June 1995.

    Google Scholar 

  63. J.L. Hennessy, D. A. Patterson, “Computer Architecture: A Quantitative Approach,” Morgan Kaufmann Publ., 1990.

  64. R.G. Herrtwich, “Betriebsmittelvergabe unter Echtzeitgesichtspunkten (in german),” Informatik Spektrum, Vol. 14, 1991, pp. 123–136.

    Google Scholar 

  65. D. Herrmann, J. Henkel, R. Ernst, “An Approach to the Adaptation of Estimated Cost Parameters in the COSYMA System,” 3rd Int. Workshop on Hardware/Software Codesign, Sept. 22–23, Grenoble, 1994, pp. 100–107.

  66. J. Hoogerbrugge, H. Corporaal, “Transport-Triggering vs. Operation-Triggering,” Compiler Construction Conference, 1994.

  67. X. Hu, et al., “Codesign of Architectures for Automotive Powertrain Modules,” IEEE Micro, vol. 14, no. 4, August 1994, pp. 17–25.

    Google Scholar 

  68. A.C. Hung, T.H.-Y. Meng, “A Comparison of Fast Inverse Discrete Cosine Transform Algorithms,” Multimedia Systems, vol. 2, no. 4, 1994, pp. 204–217.

    Google Scholar 

  69. K. Hwang, “Advanced Computer Architecture: Parallelism, Scalability, Programmability,” McGraw-Hill, 1993.

  70. IEEE International Workshop on HW/SW Co-Design, Cambridge, Massachusetts, October 1993.

  71. IEEE J. Solid-State Circuits, Special Issue on1994 ISSCC: Memory Circuits, vol. 29, no. 11, Nov. 1994, pp. 1303–1335.

  72. ISO/IEC DIS 11172, “Informationtechnology - Coding of moving pictures and associated audio for digital storage media up to about 1.5 Mbit/s,” 1992.

  73. A. E. Jacquin, “Image Coding Based on a Fractal Theory of Iterated Contractive Image Transformations,” IEEE Trans. Image Processing, vol.1, no. 1, January 1992, pp. 18–30.

    Google Scholar 

  74. R. Jain, et al., “Predicting System-level Area and Delay for Pipelined and Nonpipelined Designs,” IEEE Trans. CAD, vol. 11, no. 8, August 1992, pp. 955–965.

    Google Scholar 

  75. M. Johnson, “Superscalar Microprocessor Design,” Prentice Hall, 1991.

  76. N. P. Jouppi, D. W. Wall, “Available instruction-level parallelism for superscalar and superpipelined machines,” Proc. 3rd Conf. Architectural Support for Programming Languages and Operating Systems, Boston, April 1989, pp. 272–282.

  77. A. Kalavade, E.D. Lee, “A Hardware-Software Codesign Methodology for DSP Applications,” IEEE Design & Test of Computers, vol. 10, no. 3, Sept. 1993, pp. 16–28.

    Google Scholar 

  78. A. Kalavade, E.A. Lee, “A Global Criticality/Local Phase Driven Algorithm for the Constrained Hardware/Software Partitioning Problem,” 3rd Int. Workshop on Hardware/Software Codesign, Sept. 22–24, Grenoble, 1994, pp. 42–48.

  79. H. Kopetz et.al., “Distributed Fault-Tolerant Real-Time Systems: The Mars Approach,” IEEE Micro, February 1989, pp. 25–40.

  80. H. Kopetz, “Event-Triggered versus Time-Triggered Real-Time Systems,” Lecture Notes in Computer Science 563, A. Karshmer and J. Nehmer (editors), Vol. 563, July 1991, pp. 87–101.

  81. W. D. Körner, G.J. Fränkle, “Elektronische Dieselregelung EDR für Nutzfahrzeug Motoren,” VDI-Berichte, Nr. 515, 1984. (in German).

  82. S. Krebs, “Effiziente Motorsteuerung verringert Schadstoffemission,” Siemens—Zeitschrift Special, FuE, pp. 14–17, Frühjahr 1993 (in German).

  83. S. Y. Kung, “VLSI Array Processor,” Prentice Hall, 1988.

  84. M. Langevin, E. Cerny, J. Wilberg, H.T. Vierhaus, “Local Microcode Generation in System Design,” G. Goossens, P. Marwedel (eds.): “Code Generation for Embedded Processors”, Kluwer Academic Publishers, to appear, 1995.

  85. M. Langevin, J. Wilberg, P. Plöger, H.-T. Vierhaus, “A Codesign Methodology for High Performance Embedded Systems,” High Performance Computing Symposium '95, Montreal, Canada, July 10 – July 12, 1995, pp. 353–364.

  86. D. Lanneer, S. Note, F. Depuydt, M. Paulwels, F. Catthoor, G. Goosens, H. DeMan, “Architectural Synthesis for Medium and High Throughput Signal Processing with the new Cathedral environment,” in “High-Level VLSI Synthesis” edited by R. Camposano and Wayne Wolf, Kluwer Academic Publishers, Norwell, MA, 1991, pp. 205–229.

    Google Scholar 

  87. D. Lanneer, M. Cornero, G. Goossens, H. De Man, “Data Routing: a Paradigm for Efficient Data-Path Synthesis and Code Generation,” 7th Int. Workshop on High-Level Synthesis, Niagara-on-the-Lake, Ontario, Canada, May 18–20, 1994, pp. 17–21.

  88. S.Y. Liao, S. Devadas, K. Keutzer, S. Tjiang, A. Wang, “Code Optimization Techniques for Embedded DSP Microprocessors,” DAC'95, 1995, pp. 599–604.

  89. C. Liem, T. May, P. Paulin, “Instruction-Set Matching and Selection for DSP and ASIP Code Generation,” EDAC, 1994.

  90. C. Liem, T. May, P. Paulin, “Register Allocation through Resource Classification for ASIP Microcode Generation,” ICCAD, 1994.

  91. M.L. Liou, “Visual Telephony as an ISDN Application,” IEEE Communications Magazine, vol. 28, no. 2, Feb. 1990, pp. 30–38.

    Google Scholar 

  92. S. Malik and A. Wolfe: “Tutorial on Embedded System Performance Analysis” Proceedings ICCD'93, IEEE Computer Society Press, 1993, pp. 51.

  93. S. Mallat, “A Theory for Multiresolution Signal Decomposition: The Wavelet Representation,” IEEE Trans. Pattern Anal. Mach. Intel., vol. 11, no. 7, July 1989, pp. 674–693.

    Google Scholar 

  94. “Memory Products DRAM & DRAM Modules,” NEC Data Book, 1993.

  95. T. H. Meng, et al., “Portable Video-on-Demand in Wireless Communication,” Proc. IEEE, to appear Dec. 1994.

  96. C. Monahan, F. Brewer, “Symbolic Modeling and Evaluation of Data Paths,” University of Santa Barbara, ECE Technical Report #94-26, Oct. 1994.

  97. H.-G. Musmann, et al., “Kompressionsalgorithmen für interaktive Multimedia-Systeme,” it+ti, vol. 35, no. 2, pp. 4–18, April 1993 in German.

    Google Scholar 

  98. Y. Nakamura, K. Oguri and A. Nagoya, “Synthesis from Pure Behavioral Descriptions,” in “High-Level VLSI Synthesis” edited by R. Camposano and Wayne Wolf, Kluwer Academic Publishers, Norwell, MA 1991, pp. 205–229.

    Google Scholar 

  99. S. Note at.al., “Cathedral III: Architecture driven high-level synthesis for high throughput DSP applications,” Procs. 28th DAC, San Francisco, CA, June 1991, pp. 597–602.

  100. K. A. Olukotun, et al., “A Software-Hardware Cosynthesis Approach to Digital System Simulation,” IEEE Micro, August 1994, pp. 48–58.

  101. “Open Microprocessor Initiative: The Synopsis,” CEC - ESPRIT, 1992.

  102. J. K. Ousterhout, “Tcl and the Tk Toolkit,” Addison-Wesley Publishing Company, Reading, MA, 1994.

    Google Scholar 

  103. C.Y. Park, “Predicting deterministic execution times of real time programs,” PhD thesis, University of Washington, 1992. (also Technical Report 92-08-02, Dept. of Computer Science, University of Washington, Seattle)

  104. K. Patel, et al., “Performance of a Software MPEG Video Decoder,” Proc. 1st ACM Int. Conf. on Multimedia, Anaheim, CA, 1993.

  105. P.G. Paulin, C. Liem, T.C. May, S. Sutarwala, “DSP Tool Requirements for Embedded Systems: A Telecommunications Industrial Perspective,” J. VLSI Signal Processing, vol. 9, 1995, pp. 23–47.

    Google Scholar 

  106. “Pentium' Processor User Manual,” vol. I–III, Intel, 1993.

  107. P. Pirsch, “VLSI Architectures for Digital Video Signal Processing,” in P. Dewilde, J. Vandewalle (eds.): “Computer Systems and Software Engineering”, Kluwer Academic Publishers, Dordrecht, Netherlands, 1992, pp. 65–99.

    Google Scholar 

  108. J.A. Plaice and N. Halbwachs, “Lustre V2 user's guide and reference manual,” Technical Report Spectre L2, IMAG, Grenoble, France, October 1987.

    Google Scholar 

  109. P.G. Plöger, J. Wilberg, M. Langevin, R. Camposano, “WWW Based Structuring of Codesigns,” Int. System-level Sythesis Symposium, Cannes, 1995, to appear.

  110. J.M. Rabaey, M. Potkonjak, “Estimating Implementation Bounds for Real Time DSP Application Specific Circuits,” IEEE Trans. CAD, vol. 13, no. 6, 1994, pp. 669–683.

    Google Scholar 

  111. K.R. Rao, “Discrete Cosine Transform,” Academic Press, New York, 1990.

    Google Scholar 

  112. B.R. Rau, J. A. Fisher, “Instruction-Level Parallel Processing: History, Overview, and Perspective,” J. Supercomputing, vol.7, no. 2, May 1993, pp. 9–50.

    CAS  Google Scholar 

  113. S. Ritz, M. Pankert, V. Zivojnovic, H. Meyr, “High-Level software synthesis for the design of communication systems,” IEEE Journal on Selected Areas in Communications, Vol. 11, April 1993.

  114. B. Ryan, “Alpha Rides High,” BYTE, vol. 19, no. 10, Oct. 1994, pp. 197–198.

    Google Scholar 

  115. A. Saini, “Design of the Intel Pentium' Processor,” Proc. of the ICCD'93, IEEEComputer Society Press, 1993, pp. 248–252.

  116. A. Samailagic, D. P. Siewiorek, “The VuMan2 Wearable Computer,” IEEE Design & Test of Computers, vol. 10, no. 3, Sept. 1993, pp. 56–67.

    Google Scholar 

  117. S.E. Shladover, “Research and Development Needs for Advanced Vehicle Control Systems,” IEEE Micro, Feb. 1993, pp. 11–19.

  118. “SIR/CASTLE Online Help System,” SIR/CASTLE Online Help System, http: //alcatraz.gmd.de:9422 / castle / doc / start.html, in preparation.

  119. M.D. Smith, “Tracing with pixie,” Available by anonymous ftp from velox.stanford.edu as pub/pixie_doc/maual.ps, April 1991.

  120. R.M. Stallman, “Using and Porting GNU CC,” Free Software Foundation.

  121. J.A. Stankovic and K. Ramamritham, “The Spring Kernel: A new paradigm for Real-Time Systems,” IEEE Software, May 1991, pp. 62–72.

  122. U. Steinhausen, et al., “System-Synthesis Using Hardware/Software Codesign,” Int. Workshop on Hardware-Software Co-Design, Cambridge, MA, Oct. 7–8, 1993.

  123. B. Stroustrup, “The C++ Programming Language,” 2.ed., Addison-Wesley, Reading, MA, 1991.

    Google Scholar 

  124. “SystemV Interface Definition,” Vol. I & II, AT&T Customer Information Center, 1986.

  125. A. Tannenbaum, H. van Staveren and J. Stevenson, “Using Peephole Optimization on Intermediate Code,” ACM Trans. on Programing Languages and Systems, Vol. 4(1), 1982.

  126. “The Almagest: Manual for Ptolemy,” Version 0.3.1, Dept. of EECS, University of California at Berkeley, January 1992.

  127. “The CASTLE Analysis Environment,” CASTLE Analysis Home Page, urhttp: //alcatraz.gmd.de:9422 / designenv / hello.html, in preparation.

  128. M. Theiβinger, P. Stravers, H. Veit, “An Interactive Environment for HW/SW Co-Design,” 3rd Int. Workshop on Hardware/Software Codesign, Sept. 22–23, Grenoble, 1994, pp. 203–209.

  129. D. Thomas, E. Lagnese, R. Walker, J. Nestor, J. Rajan, R. Blackburn, “Algorithmic and Register-Transfer Level Synthesis: The System Architect's Workbench,” Kluwer Academic Publishers, Boston, 1990.

    Google Scholar 

  130. “TMS 320C4x User's Guide,” Texas Instruments, 1992.

  131. D. Tuite, “32-bit Power And Tools Bring Cheer to Embedded System Designers,” Computer Design, December 1992, pp. 91–103.

  132. S.-I. Uramoto, et al., “A 100-MHz 2-D Discrete Cosine Transform Core Processor,” IEEE J. Solid-State Circuits, vol. 27, no. 4, April 1992, pp. 492–498.

    Article  CAS  PubMed  Google Scholar 

  133. “VA: Concept Silicon User Guide,” InCA Inc., Santa Clara, CA 95054, 1993.

  134. J. Vanhof, K. Van Rompaey, I. Bolsens, G. Goosens, H. DeMan, “High-Level Synthesis for Real Time Digital Signal Processing,” Kluwer Academic Publishers, Dordrecht, The netherlands, 1993.

    Google Scholar 

  135. R. Vickers, “The Development of ATM Standards and Technology: A Retrospective,” IEEE Micro, vol. 13, no. 6, Dec. 1993, pp. 62–73.

    Google Scholar 

  136. R.A. Walker and R. Camposano, “A Survey of High-Level Synthesis Systems,” Kluwer Academic Publishers, Boston, 1991.

    Google Scholar 

  137. E. Walkup, G. Borriello, “Automatic Synthesis of Device Drivers for HW/SW Codesign,” IEEE International Workshop on HW/SW Co-Design, Cambridge, Massachusetts, October 1993.

  138. G. K. Wallace, “The JPEG still picture compression standard,” IEEE Trans. Consumer Electronics, vol. 38, no. 1, Feb. 1992, pp. 18–34.

    CAS  Google Scholar 

  139. N. Weste, “OK, if these CAD tools are so great, why isn't my chip design on schedule?,” Int. Conf. Computer Design, Cambridge, MA, Oct. 10–12, 1994, pp. 2–8.

  140. J. Wilberg, R. Camposano, U. Westerholz, U. Steinhausen, “Design of an Embedded Video Compression System - A Quantitative Approach,” Int. Conf. Computer Design, Cambridge, MA, Oct. 10–12, 1994, pp. 428–431.

  141. J. Wilberg, R. Camposano, W. Rosenstiel, “Design Flow for Hardware/Software Cosynthesis of a Video Compression System,” 3rd Int. Workshop on Hardware/Software Codesign, Sept. 22–23, Grenoble, 1994, pp. 73–80.

  142. J. Wilberg, R. Camposano, M. Langevin, P. Plöger, T. Vierhaus, “Cosynthesis in CASTLE,” in “Novel Approaches in Logic and Architecture Synthesis”, Chapman & Hall, 1995, to appear.

  143. R. Wilson, et al., “The SUIF Compiler System,” http: //suif.stanford.edu /suif /suif-overview /suif-overview.html, Computer Systems Laboratory, Stanford University, CA, 1994.

    Google Scholar 

  144. W. Wolf, A. Takach and T.C. Lee, “Architectural Optimization Methods for Control Dominated Machines,” in “High-Level VLSI Synthesis” edited by R. Camposano and Wayne Wolf, Kluwer Academic Publishers, Norwell, MA, 1991, pp. 231–254.

    Google Scholar 

  145. W. Wolf, “Hardware-Software Co-Design of Embedded Systems,” Proc. IEEE, vol. 82, no. 7, July 1994, pp. 967–989.

    CAS  Google Scholar 

  146. H.R. Wu, F.J. Paoloni, “A Two-Dimensional Fast Cosine Transform Algorithm Based on Hou's Approach,” IEEE Trans. Signal Processing, vol. 39, no. 2, Feb. 1991, pp. 544–546.

    Google Scholar 

  147. E. Zanoni, P. Pavan, “Improving the Reliability and Safety of Automotive Electronics,” IEEE Micro, Feb. 1993, pp. 30–47.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Camposano, R., Wilberg, J. Embedded system design. Des Autom Embed Syst 1, 5–50 (1996). https://doi.org/10.1007/BF00134682

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF00134682

Keywords

Navigation