Skip to main content
Log in

Techniques for power estimation and optimization at the logic level: A survey

  • Published:
Journal of VLSI signal processing systems for signal, image and video technology Aims and scope Submit manuscript

Abstract

We present a survey of state-of-the-art power estimation methods and optimization techniques targeting low power VLSI circuits. Estimation and optimizations at the circuit and logic levels are considered.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. T. Quarles, “The SPICE3 implementation guide”, Technical Report ERL M89/44, Electronics Research Laboratory Report, University of California at Berkeley. Berkeley, California, April 1989.

    Google Scholar 

  2. R. Tjarnstrom, “Power dissipation estimate by switch level simulation”, inProceedings of the IEEE International Symposium on Circuits and Systems, pp. 881–884, May 1989.

  3. A. Salz and M. Horowitz, “IRSIM: An incremental MOS switchlevel simulator”, inProceedings of the 26th Design Automation Conference, pp. 173–178, June 1989.

  4. L. Glasser and D. Dobberpuhl,The Design and Analysis of VLSI Circuits, Addison-Wesley, 1985.

  5. F. Najm, “Transition density: A new measure of activity in digital circuits,IEEE Transactions on Computer-Aided Design, Vol. 12, No. 2, pp. 310–323, Feb. 1993.

    Article  Google Scholar 

  6. A. Chandrakasan, T. Sheng, and R.W. Brodersen, “Low power CMOS digital design”.Journal of Solid State Circuits, Vol. 27, No. 4, pp. 473–484, April 1992.

    Article  Google Scholar 

  7. S. Devadas, K. Keutzer, and J. White, “Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation”, inIEEE Transactions on Computer-Aided Design, pp. 373–383, March 1992.

  8. S. Manne, A. Pardo, R. Bahar, G. Hachtel, F. Somenzi, E. Macii, and M. Poncino, “Computing the maximum power cycles of a sequential circuit”, inProceedings of the Design Automation Conference, pp. 23–28, June 1995.

  9. R. Burch, F. Najm, P. Yang, and T. Trick, “A Monte Carlo approach to power estimation,IEEE Transactions on VLSI Systems, Vol. 1, No. 1, pp. 63–71, March 1993.

    Article  Google Scholar 

  10. A. Papoulis,Probability, Random Variables and Stochastic Processes, McGraw-Hill, 3rd edition, 1991.

  11. M. Xakellis and F. Najm, “Statistical estimation of the switching activity in digital circuits”, inProceedings of the Design Automation Conference, pp. 728–733, June 1994.

  12. A. Hill and S. Kang, “Determining accuracy bounds for simulation-based switching activity estimation”, inInternational Symposium on Low Power Design, pp. 215–220, April 1995.

  13. R. Bryant, “Graph-based algorithms for Boolean function manipulation”,IEEE Transactions on Computers, Vol. C-35, No. 8, pp. 677–691, Aug. 1986.

    Article  MATH  Google Scholar 

  14. A. Shen, S. Devadas, A. Ghosh, and K. Keutzer, “On average power dissipation and random pattern testability of combinational logic circuits”, inProceedings of the International Conference on Computer-Aided Design, pp. 402–407, Nov. 1992.

  15. M. Favalli and L. Benini, “Analysis of glitch power dissipation in CMOS ICs,” inInternational Symposium on Low Power Design, pp. 123–128, April 1995.

  16. M.A. Cirit, “Estimating dynamic power consumption of CMOS circuits”, inProceedings of the International Conference on Computer-Aided Design, pp. 534–537, Nov. 1987.

  17. B. Kapoor, “Improving the accuracy of circuit activity measurement”, inProceedings of the 1994 International Workshop on Low Power Design, pp. 111–116, April 1994.

  18. F.N. Najm, R. Burch, P. Yang, and I. Hajj, “Probabilistic simulation for reliability analysis of CMOS VLSI circuits”,IEEE Transactions on Computer-Aided Design, Vol 9, No. 4, pp. 439–450, April 1990.

    Article  Google Scholar 

  19. C.Y. Tsui, M. Pedram, and A. Despain, “Efficient estimation of dynamic power dissipation under a real delay model”, inProceedings of the International Conference on Computer-Aided Design, pp. 224–228, Nov. 1993.

  20. T. Uchino, F. Minami, T. Mitsuhashi, and N. Goto, “Switching activity analysis using boolean approximation method”, inProceedings of the International Conference on Computer-Aided Design, pp. 20–25, Nov. 1995.

  21. A. Ghosh, S. Devadas, K. Keutzer, and J. White, “Estimation of average switching activity in combinational and sequential circuits”, inProceedings of the Design Automation Conference, pp. 253–259, June 1992.

  22. P. Schneider and U. Schlichtmann, “Decomposition of boolean functions for low power based on a new power estimation technique”, inProceedings of the 1994 International Workshop on Low Power Design, pp. 123–128, April 1994.

  23. G. Hachtel, E. Macii, A. Pardo, and F. Somenzi, “Probabilistic analysis of large finite state machines”, inProceedings of the Design Automation Conference, pp. 270–275, June 1994.

  24. R. Bahar, E. Frohm, C. Gaona, G. Hachtel, E. Macii, A. Pardo, and F. Somenzi, “Algebraic decision diagrams and their applications”, inProceedings of the International Conference on Computer-Aided Design, pp. 188–191, Nov. 1993.

  25. C.-Y. Tsui, J. Monteiro, M. Pedram, S. Devadas, A. Despain, and B. Lin, “Power estimation for sequential logic circuits”,IEEE Transactions on VLSI Systems, Vol. 3, No. 3, pp. 404–416, Sept. 1995.

    Article  Google Scholar 

  26. F. Najm, S. Goel, and I. Hajj, “Power estimation in sequential circuits”, inProceedings of the Design Automation Conference, pp. 635–640, June 1995.

  27. R. Marculescu, D. Marculescu, and M. Pedram, “Efficient power estimation for highly correlated input streams”, inProceedings of the Design Automation Conference, pp. 628–634, June 1995.

  28. J. Monteiro and S. Devadas, “Techniques for the power estimation of sequential logic circuits under user-specified input sequences and programs”, inProceedings of the International Symposium on Low Power Design, pp. 33–38, April 1995.

  29. S. Sapatnekar, V. Rao, P. Vaidya, and S. Kang, “An exact solution to the transistor sizing problem for CMOS circuits using convex optimization”,IEEE Transactions on Computer-Aided Design, Vol. 12, No. 11, pp. 1621–1634, Nov. 1993.

    Article  Google Scholar 

  30. C.H. Tan and J. Allen, “Minimization of power in VLSI circuits using transistor sizing, input ordering, and statistical power estimation”, inProceedings of the International Workshop on Low Power Design, pp. 75–80, April 1994.

  31. R. Bahar, G. Hachtel, E. Macii, and F. Somenzi, “A symbolic method to reduce power consumption of circuits containing false paths”, inProceedings of the International Conference on Computer-Aided Design, pp. 368–371, Nov. 1994.

  32. M. Borah, R. Owens, and M. Irwin, “Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint”, inInternational Symposium on Low Power Design, pp. 167–172, April 1995.

  33. M. Berkelaar and J. Jess, “Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator”, inProceedings of the International Conference on Computer-Aided Design, pp. 474–480, Nov. 1994.

  34. J. Cong and C. Koh, “Simultaneous driver and wire sizing for performance and power optimization”,IEEE Transactions on VLSI Systems, Vol. 2, No. 4, pp. 408–425, Dec. 1994.

    Article  Google Scholar 

  35. L. Lavagno, P. McGeer, A. Saldanha, and A. Sangiovanni-Vincentelli, “Timed shannon circuits: A power-efficient design style and synthesis tool”, inProceedings of the Design Automation Conference, pp. 254–260, June 1995.

  36. T. Kim, W. Burleson, and M. Ciesielski, “Logic restructuring for wave-pipelined circuits”, inProceedings of the International Workshop on Logic Synthesis, 1993.

  37. K. Bartlett, R.K. Brayton, G.D. Hachtel, R.M. Jacoby, C.R. Morrison, R.L. Rudell, A. Sangiovanni-Vincentelli, and A.R. Wang, “Multi-level logic minimization using implicit don't cares”, inIEEE Transactions on Computer-Aided Design, pp. 723–740, June 1988.

  38. S. Iman and M. Pedram, “Multi-level network optimization for low power”, inProceedings of the International Conference on Computer-Aided Design, pp. 371–377, Nov. 1994.

  39. R. Brayton, R. Rudell, A. Sangiovanni-Vincentelli, and A. Wang, “MIS: A multiple-level logic optimization system”, inIEEE Transactions on Computer-Aided Design, pp. 1062–1081, Nov. 1987.

  40. K. Roy and S. Prasad, “Circuit activity based logic synthesis for low power reliable operations”,IEEE Transactions on VLSI Systems, Vol. 1, No. 4, pp. 503–513, Dec. 1993.

    Article  Google Scholar 

  41. R. Murgai, R. Brayton, and A. Sangiovanni-Vincentelli, “Decomposition of logic functions for minimum transition activity”, inProceedings of the 1994 International Workshop on Low Power Design, pp. 33–38, April 1994.

  42. S. Iman and M. Pedram, “Logic extraction and factorization for low power”, inProceedings of the Design Automation Conference, pp. 248–253, June 1995.

  43. R. Panda and F. Najm, “Technology decomposition for lowpower synthesis”, inProceedings of the Custom Integrated Circuit Conference, 1995.

  44. K. Keutzer, “DAGON: Technology mapping and local optimization”, inProceedings of the 24th Design Automation Conference, pp. 341–347, June 1987.

  45. V. Tiwari, P. Ashar, and S. Malik, “Technology mapping for low power”, inProceedings of the 30th Design Automation Conference, pp. 74–79, June 1993.

  46. C.-Y. Tsui, M. Pedram, and A.M. Despain, “Technology decomposition and mapping targeting low power dissipation”, inProceedings of the 30th Design Automation Conference, pp. 68–73, June 1993.

  47. B. Lin, “Technology mapping for low power dissipation”, inProceedings of the International Conference on Computer Design: VLSI in Computers and Processors, Oct. 1993.

  48. R. Bahar, H. Cho, G. Hachtel, E. Macii, and F. Somenzi, “An application of ADD-based timing analysis to combinational low power synthesis”, inProceedings of the 1994 International Workshop on Low Power Design, pp. 39–44, April 1994.

  49. Y. Tamiya, Y. Matsunaga, and M. Fujita, “LP-based cell selection with constraints of timing, area and power consumption”, inProceedings of the International Conference on Computer-Aided Design, pp. 378–381, Nov. 1994.

  50. P. Ashar, S. Devadas, and A.R. Newton,Sequential Logic Synthesis, Kluwer Academic Publishers, Boston, Massachusetts, 1991.

    Google Scholar 

  51. E. Olson and S. Kang, “Low-power state assignment for finite state machines”, inProceedings of the 1994 International Workshop on Low Power Design, pp. 63–68, April 1994.

  52. G. Hachtel, M. Hermida, A. Pardo, M. Poncino, and F. Somenzi, “Re-encoding sequential circuits to reduce power dissipation”, inProceedings of the International Conference on Computer-Aided Design, pp. 70–73, Nov. 1994.

  53. C.-Y. Tsui, M. Pedram, C.-A. Chen, and A.M. Despain, “Low power state assignment targeting two- and multi-level logic implementations”, inProceedings of the International Conference on Computer-Aided Design, pp. 82–87, Nov. 1994.

  54. M. Stan and W. Burleson, “Limited-weight codes for low-power I/O”, inProceedings of the International Workshop on Low Power Design, pp. 209–214, April 1994.

  55. W.A. Chren, “Low delay-power product CMOS design using one-hot residue coding”, inProceedings of the International Symposium on Low Power Design, April 1995.

  56. C.E. Leiserson, F.M. Rose, and J.B. Saxe, “Optimizing synchronous circuitry by retiming”, inProceedings of 3rd CalTech Conference on VLSI, pp. 23–36, March 1983.

  57. S. Malik, E. Sentovich, R. Brayton, and A. Sangiovanni-Vincentelli, “Retiming and resynthesis: Optimizing sequential circuits using combinational techniques”, inIEEE Transactions on Computer-Aided Design, pp. 74–84, Jan. 1991.

  58. J. Monteiro, S. Devadas, and A. Ghosh, “Retiming sequential circuits for low power”, inProceedings of the International Conference on Computer-Aided Design, pp. 398–402, Nov. 1993.

  59. A. Chandrakasan,Low-Power Digital CMOS Design, Ph.D. Thesis, University of California at Berkeley, UCB/ERL Memorandum No. M94/65, Aug. 1994.

  60. L. Benini and G. De Micheli, “Transformation and synthesis of FSMs for low power gated clock implementation”, inProceedings of the International Symposium on Low Power Design, pp. 21–26, April 1995.

  61. M. Alidina, J. Monteiro, S. Devadas, A. Ghosh, and M. Papaefthymiou, “Precomputation-based sequential logic optimization for low power”,IEEE Transactions on VLSI Systems, Vol. 2, No. 4, pp. 426–436, Dec. 1994.

    Article  Google Scholar 

  62. J. Monteiro, J. Rinderknecht, S. Devadas, and A. Ghosh, “Optimization of combinational and sequential logic circuits for low power using precomputation”, inProceedings of the 1995 Chapel Hill Conference on Advanced Research on VLSI, pp. 430–444, March 1995.

  63. V. Tiwari, P. Ashar, and S. Malik, “Guarded evaluation: Pushing power management to logic synthesis/design”, inInternational Symposium on Low Power Design, pp. 221–226, April 1995.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Monteiro, J., Devadas, S. Techniques for power estimation and optimization at the logic level: A survey. J VLSI Sign Process Syst Sign Image Video Technol 13, 259–276 (1996). https://doi.org/10.1007/BF01130409

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF01130409

Keywords

Navigation