Skip to main content
Log in

Verification of asynchronous interface circuits with bounded wire delays

  • Published:
Journal of VLSI signal processing systems for signal, image and video technology Aims and scope Submit manuscript

Abstract

We address the problem of verifying that the gate-level implementation of an asynchronous circuit, with given or extracted bounds on wire and gate delays, is equivalent to a specification of the asynchronous circuit behavior described as a classical flow table. We give a procedure to extract the complete set of possible flow tables from a gate-level description of an asynchronous circuit under the bounded wire delay model. Given an extracted flow table and the initial flow table specification, we give procedures to construct a product flow table so as to check for machine equivalence under various modes of operation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. L. Lavagno, K. Keutzer, and A. Sangiovanni-Vincentelli, “Algorithms for synthesis of hazard-free asynchronous circuits,”Proceedings of the Design Automation Conference, June 1991, pp. 302–308.

  2. D.L. Dill,Trace Theory for the Automatic Hierarchical Verification of Speed-Independent Circuits. MIT Press, Cambridge 1987.

    Google Scholar 

  3. D.L. Dill, “Timing assumptions and verification of finite-state concurrent systems,”Proceedings of the Workshop on Automatic Verification Methods for Finite State Systems, vol. 407 ofLecture Notes in Computer Science, June 1989.

  4. M.C. Browne, E.M. Clarke, and D.L. Dill, “Automatic circuit verification using temporal logic: two new examples,”Proceedings if Int'l. Conference on Computer Design: VLSI in Computers, October 1985, pp. 32–36.

  5. J. Burch, “Delay models for verifying speed dependent asynchronous circuits,”Proceedings, Tau 92: 1992 ACM Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, March 1992.

  6. N. Ishiura, M. Takahashi, and S. Yajima, “Time-symbolic simulation for accurate timing verification,”Proceedings of the 26th Design Automation Conference, June 1989, pp. 497–502.

  7. N. Ishiura, Y. Deguchi, and S. Yajima, “Coded time-symbolic simulation using shared binary decision diagrams,”Proceedings of the 27th Design Automation Conference, June 1990, pp. 130–135.

  8. S. Devadas, K. Keutzer, S. Malik, and A. Wang, “Event suppression: improving the efficiency of timing simulation for synchronous digital circuits,”Proceedings of the Brown/MIT Advanced Research in VLSIand Parallel Sytems, March 1992, pp. 195–209.

  9. C-J. Seger and R.E. Bryant, “Modelling of circuit delays in symbolic simulation,”IFIP International Workshop on Applied Formal Methods for Correct VLSI Design, November 1989, pp. 625–639.

  10. A.D. Friedman and P.R. Menon,Theory and Design of Switching Circuits, Computer Science Press, Rockville, 1975.

    Google Scholar 

  11. Z. Kohavi,Switching and Finite Automata Theory, McGraw Hill, New York, 1978.

    MATH  Google Scholar 

  12. S.H. Unger,Asynchronous Sequential Switching Circuits, Wiley Interscience, New York, 1969.

    Google Scholar 

  13. N. Lynch and Mark Tuttle, “An introduction to input/output automata,”CWI Quarterly, vol. 2, 1989, pp. 219–246.

    MathSciNet  MATH  Google Scholar 

  14. E.B. Eichelberger, “Hazard detection in combinational and sequential switching circuits,”IBM Journal of Research and Development, vol. 9, 1965, pp. 90–99.

    Article  MATH  Google Scholar 

  15. S. Devadas, H-K.T. Ma, and A.R. Newton, “On the verification of sequential machines at differing levels of abstraction,”IEEE Thansactions on Computer-Aided Design, June 1988, pp. 713–722. Correction in May 1989.

  16. L. Lavagno, K. Keutzer, and A. Sangiovanni-Vincentelli, “Synthesis of verifiably hazard-free asynchronous control circuits,”Advanced Research in VLSI Conference, pp. 87–102, March 1991.

  17. A. Ghosh, S. Devadas, and A.R. Newton, “Verification of interacting sequential circuits,”Proceedings of the 27th Design Automation Conference, June 1990, pp. 213–219.

  18. R. Bryant, “Graph-based algorithms for Boolean function manipulation,”IEEE Transactions on Computers, vol. C-35, August 1986, pp. 677–691.

    Article  Google Scholar 

  19. O. Coudert, C. Berthet, and J.C. Madre, “Verification of sequential machines using Boolean functional vectors,”IMEC-IFIP Int'l. Workshop on Applied Formal Methods for Correct VLSI Design, November 1989, pp. 111–128.

  20. S. Devadas, K. Keutzer, S. Malik, and A. Wang, “Certified timing verification and the transition delay of a circuit,”Proceedings of the Design Automation Conference, pp. 549–555, June 1992.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Devadas, S., Keutzer, K., Malik, S. et al. Verification of asynchronous interface circuits with bounded wire delays. Journal of VLSI Signal Processing 7, 161–182 (1994). https://doi.org/10.1007/BF02108195

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF02108195

Keywords

Navigation