Skip to main content
Log in

Abstract

A real time system typically combines a variety of implementation technologies and hardware architectures. Deciding how to partition the system and selecting an architectural technology for the sub-systems is by no means a trivial task. These architectural decisions, which can have a major impact on the quality and performance of the final implementation, have to be made at the early stages in the design process, when the impact of the decisions is unclear and can only be quantified using some primitive measures. p ]In this paper, we present our vision on how a next generation of design environment can aid the designer in this decision process. We first identify the problems of designing a heterogeneous real time system by walking through the design process of a complex speech recognition system. Based on this analysis, we propose a system design methodology build on top of current synthesis tools. Today, DSP synthesis tools are application and/or architecture specific, covering subparts of the application once the partitioning is made. To make them useful in the proposed methodology, a unified view on the underlying architecture assumptions is needed. Secondly, good decision making requires an “as-good-as-possible” estimation of the implications of the decision. Therefore, it is important that current manual estimation be enlarged by high level estimation and performance analysis tools. p ]The HYPERSPACE environment, which is currently under development, therefore, consists of three complementary components: a set of architecture specific compilers, a set of estimation and performance analysis tools and an architecture selection and partitioning framework, steered by the designer.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. P.B. Denyer, D. Renshaw and N, Bergmann, “A Silicon Compiler, for VLSI Signal Processors,”Proc. of European Solid State Circuits Conference, ESSCIRC-82, 1982.

  2. N. Bergmann, “A Case Study of the FIRST Silicon Compiler,”Third Caltech Conference on VLSI, 1983.

  3. E.A. Lee, W.H. Ho, E. Goei, J. Bier and S. Bhattacharya, “Gabriel: A Design Environment for DSP,”IEEE Transactions on ASSP, Vol. 37, 1989.

  4. D. Genin, J. De Moortel, D. Desmet and E. Van de Velde, “System Design, Optimization, and Intelligent Code Generation for Standard Digital Signal Processors,”Proceedings of ISCAS-89, Portland, Oregon, May 1989.

  5. D. Powell and E. Lee, “Direct Synthesis of Optimized DSP Assembly Code from Signal Flow Block Diagrams,”Proceedings ICASSP-92, March 1992.

  6. P. Hoang and J. Rabaey, “A Compiler for Multi-processor DSP Implementation,”Proceedings ICASSP-92, March 1992.

  7. A. Stölzle et al., “A flexible VLSI 60,000 Word Real Time Continuous Speech Recognition System,”Proc. IEEE Workshop on VLSI Signal Processing, November 1990, pp. 247–284.

  8. A. Stölzle et al., “Integrated Circuits for a Real-Time Large Vocabulary Continuous Speech Recognition System,”IEEE Journal of Solid State Circuits, Vol. 26, 1990, pp. 2–11.

  9. A. Stölzle,A Real Time Large Vocabulary Speech Recognition System, Ph.D. thesis, Memorandum No. UCB/ERL M91/109, December 1991.

  10. L. Rabiner and B.H. Huang, “A Tutorial on Hidden Markov Models and Selected Applications in Speech Recognition,”Proceedings of the IEEE, 1989, pp. 257–286.

  11. J. Buck, S. Ha, E.A. Lee and D. Messerschmitt, “Ptolemy; A Framework for Simulating and Prototyping Heterogeneous Systems,”International Journal of Computer Simulation, special issue on “Simulation and Software Development.”

  12. M.B. Srivastava and R.W. Brodersen, “Rapid-Prototyping of Hardware and Software in a Unified Framework,”Proc. of ICCAD-91, November 1991.

  13. M.B. Srivastava,Rapid-Prototyping of Hardware and Software in a Unified Framework, Ph.D. thesis, Memorandum No. UCB/ERL M92/67, June 1992.

  14. D. Skillicorn, “A Taxonomy for Computer Architectures,”Computer Magazine, Nov. 1988.

  15. E.A. Lee, “Programmable DSP Architectures: Part I & Part II,”IEEE ASSP Magazine, October 1988, pp. 4–19, and January 1989, pp. 4–14.

  16. R. Lauwereins, M. Engels, J. Peperstraete, E. Steegmans and J. Van Ginderdeuren, “GRAPE: A Case Tool for Digital Signal Parallel Processing,”IEEE ASSP Magazine, April 1990, pp. 32-43.

  17. G. Goossens et al., “Integration of signal processing systems on heterogeneous IC Architectures,”Proc. of 6th ACM/IEEE Int. Workshop on High-Level Synthesis, Laguna Niguel, Nov. 1992.

  18. A. Kalavade and E. Lee, “Hardware/Software Co-Design Using Ptolemy—A Case Study,”Int. Workshop on Hardware-Software Co-Design, Estes Park, Colorado, Sept. 1992.

  19. P. Hoang,Compiling Real-Time Digital Signal Processing Applications onto Multiprocessor Systems, Ph.D. thesis, Memorandum No. UCB/ERL M92/68, June 1992.

  20. P. Hoang and J. Rabaey, “Hierarchical Scheduling of DSP Programs onto Multiprocessors for Maximum Throughput,”Proc. of International Conference on Application Specific Array Processors, August 1992, pp. 21–26.

  21. P. Hilfinger, “A High Level Language and Silicon Compiler for Digital Signal Processing,”Proc. CICC-85, May 1985, pp. 213–216.

  22. P. Hilfinger, J. Rabaey, D. Genin, C. Scheers and H. De Man, “DSP Specification using the SILAGE Language,”Proceedings IEEE ICASSP-90, 1990, pp. 1057–1060.

  23. K. Rimey and P. Hilfinger, “A Compiler for Application-Specific Signal Processors,” inVLSI Signal Processing, III, R. Brodersen, H. Moscovitz (Eds.), Chapter 32, Nov. 1988, pp. 341–351.

  24. L. Thon and R. Brodersen, “C-to-Silicon compilation,”Proc. CICC-92, May 1992.

  25. L. Thon, K. Rimey and L. Svensson, “From C to Silicon,” Chapter 17 in [40].

  26. J. Rabaey, H. De Man, J. Vanhoof, G. Goossens and F. Catthoor, “Cathedral-II: a synthesis system for multi-processor DSP systems,” in D. Gajski,Silicon Compilation, Addison-Wesley, 1988, pp. 311–360.

  27. D. Lanneer, S. Note, f. Depuydt, M. Pauwels, F. Catthoor, G. Goossens and H. De Man, “Architectural Synthesis for Medium and High Throughout Signal Processing with the new Cathedral environment,” in R. Camposano, W. Wolf (Eds.),High Level VLSI Synthesis, Kluwer Academic Publ., 1991.

  28. J. Rabaey, C.M. Chu, P. Hoang and M. Potkonjak, “Fast prototyping of Data path-Intensive Architectures,”IEEE Design and Test of Computers, June 1991, pp. 40–51.

  29. J. Rabaey, C.M. Chu, P. Hoang and M. Potkonjak, “Synthesis of Data path Architectures,” Chapter 16 in [40].

  30. S. Note, W. Geurts, F. Catthoor and H. De Man, “CATHEDRAL-III: Architecture-Driven High-Level Synthesis for High Throughput DSP Applications,”Proc. DAC-91, San Francisco, 1991.

  31. P. Lippens et al., “PHIDEO: A Silicon Compiler for High Speed Algorithms,”Proceedings EDAC-91, Feb. 1991, pp. 436–441.

  32. C.-M. Chu and J. Rabaey, “Hardware selection and Clustering in the HYPER synthesis system”Proceedings EDAC'92, Brussels, Belgium, Feb. 1992.

  33. M. Corazao, M. Khalaf, L. Guerra, M. Potkonjak and J. Rabaey, “Instruction set Mapping for Performance Optimization,”U. C. Berkeley, Internal Report, 1993.

  34. M. Potkonjak,Algorithms for High Level Synthesis: Resource Utilization Based Approach, Ph.D. thesis, Memorandum No. UCB/ERL M92/10, January 1992.

  35. J. Rabaey and M. Potkonjak, “Complexity Estimation for Real Time Application Specific Circuits,”Proceedings ESSCIRC-91 Conference, Milan, September 1991.

  36. P. Landman and J. Rabaey, “Power Estimation for High Level Synthesis,”Proceedings EDAC-EUROASIC-93, Paris, France, February 1993.

  37. M. Potkonjak, J. Rabaey, “Optimizing Resource Utilizations using Transformations,”Proceedings ICCAD-91, Nov. 1991.

  38. A. Chandrakasan, M. Potkonjak, J. Rabaey and R. Brodersen, “HYPER-LP: A Design System for Power Minimization using Architectural Transformations,”Proceedings ICCAD-92, Nov. 1992.

  39. M. Potkonjak and J. Rabaey, “Maximally Fast and Arbitrarily Fast Implementation of Linear Computations,”Proceedings ICCAD-92, Nov. 1992.

  40. R.W. Brodersen (editor),Anatomy of a Silicon Compiler, Kluwer Academic Publishers, 1992.

  41. C.S. Chung, R. Jain, K. Rimey, E. Wang, M. Srivastava, B. Richards, E. Lettang, S. Azim, P. Hilfinger, J. Rabaey and R. Brodersen, “An Integrated CAD System for Algorithm-Specific IC Design,”IEEE Transactions on CAD of Integrated Circuits and Systems, April 1991.

  42. D. Chen and J. Rabaey, “PADDI: Programmable Arithmetic Devices for DIgital Signal Processing,”VLSI Signal Processing IV, H. Moscovitz, K. Yao, R. Jain (editors), IEEE Press, 1990.

  43. A. Yeungk and J. Rabaey, “A reconfigurable Data-driven Multi-processor Architecture for Rapid Prototyping of High Throughput DSP Algorithms,“VLSI Signal Processing, V, K. Yao, R. Jain, W. Przytula (editors). IEEE Press, 1992.

  44. D. Chen, L. Guerra, E. Ng, M. Potkonjak, D. Schultz and J. Rabaey, “An Integrated System for Rapid Prototyping of High Performance Algorithm Specific Data Paths,” Proceedings of the International Conference on Application Specific Array Processors, August 1992, pp. 134–148.

  45. J. Rabaey and M. Potkonjak, “Estimation of Implementation Bounds for Real-Time Application Specific Circuits,”U.C. Berkeley, Internal Report, 1992.

  46. D. Schultz,The Influence of Hardware Mapping on High-Level Synthesis. M.S. report, U.C. Berkeley, 1992.

  47. P. Heidelberger and S. Lavenberg, “Computer Performance Evaluation Methodology,”IEEE Transactions on Computers. Vol. 33, No. 12, 1984, pp. 1195–1220.

    Article  MathSciNet  Google Scholar 

  48. R. Jain,The Art of Computer Systems Performance Analysis: Techniques for experimental design, measurement, simulation, and modeling, New York, Wiley, 1991.

    MATH  Google Scholar 

  49. T. Cook et al., “A Functional Language for the Specification of Instruction Set Architectures,”Int. Workshop on Hardware-Software Co-Design, Estes Park, Colorado, Sept. 1992.

  50. A. Aho et al., “Code Generation Using Tree Matching and Dynamic Programming,”ACM Trans. on Programming Languages and Sysetms, pp. 491–516, Oct. 1989.

  51. M. Barbacci, “Instruction Set Professor Specifications (ISPS): The Notation and Its Applications,”IEEE Trans. on Computers, pp. {pp24–40}, 1981.

  52. P. Paulin, C. Liem, T. May and S. Sutarwala, “DSP Design Tool Requirements for the Nineties: An Industrial Perspective,”Journal of VLSI Signal Processing, this issue.

  53. D. Lanneer, M. Cornero, G. Goossens and H. De Man, “An assignment technique for incompletely specified data paths,”Proceedings EDAC-EUROASIC-93, Paris, France, 1993.

  54. A. Kalavade and E.A. Lee, “A Hardware/Software Codesign Methodology for DSP Applications”,U.C. Berkeley, Internal Report, April 1993.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Verbauwhede, I., Rabaey, J.M. Synthesis for real time systems: Solutions and challenges. Journal of VLSI Signal Processing 9, 67–88 (1995). https://doi.org/10.1007/BF02406471

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF02406471

Keywords

Navigation