Skip to main content
Log in

A new classification of path-delay fault testability in terms of stuck-at faults

  • Others
  • Published:
Journal of Computer Science and Technology Aims and scope Submit manuscript

Abstract

A new classification of path-delay fault testability in a combinational circuit is presented in terms of testability of stuck-at faults in an equivalent circuit. Earlier results describing correlation of path-delay and stuck-at faults are either incomplete, or use a complex model of equivalent circuit based on timing parameters. It is shown here that a path-delay fault (rising or falling) is testable if and only if certain single or multiple stuck-at fault in the equivalent circuit is testable. Thus, all aspects of path-delay faults related to testability under various classification schemes can be interpreted using the stuck-at fault model alone. The results unify most of the existing concepts and provide a better understanding of path-delay faults in logic circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Krstić A, Cheng K T. Delay Fault Testing for VLSI Circuits. Boston: Kluwer Academic Publishers, 1998.

    Book  Google Scholar 

  2. Lin C J, Reddy S M. On delay fault testing in logic circuits.IEEE Trans. CAD, Sept. 1987, 6: 694–703.

    Article  Google Scholar 

  3. Smith G L. Model for delay faults based upon paths. InProc. International Test Conf., Oct. 1985, pp.342–349.

  4. Aitken R C. Nanometer technology effects on fault models for IC testing.IEEE Trans. Computers, Jan. 1999, 48: 46–51.

    Google Scholar 

  5. Needham W N, Prunty C, Yeoh E H. High volume microprocessor test escapes, an analysis of defects our tests are missing. InProc. International Test Conf., Oct. 1998, pp.25–34.

  6. Krisnamachary A, Abraham J A. Effects of multicycle sensitization on delay tests. InProc. International Conf. VLSI Design, Jan. 2003, pp.137–142.

  7. Pomeranz I, Reddy S M. On the number of tests to detect all path delay faults in combinational logic circuits.IEEE Trans. Computers, Jan. 1996, 45: 50–62.

    Article  MATH  Google Scholar 

  8. Heragu K, Patel J H, Agrawal V D. Fast identification of untestable delay faults using implications. InProc. International Conf. CAD, Nov. 1997, pp.642–647.

  9. Sparmann U, Luxenburger D, Cheng K T, Reddy S M. Fast identification of robust dependent path delay faults. InProc. 30th Design Automation Conf., June 1995, pp.119–125.

  10. Liang H C, Lee C L, Chen J E. Identifying untestable faults in sequential circuits.IEEE Design and Test of Computers, 1995, 12(3): 12–23.

    Article  Google Scholar 

  11. Tekumalla R, Menon P R. Identifying redundant path delay fauits in sequential circuits. InProc. 9th International Conf. VLSI Design, Jan. 1996, pp.406–411.

  12. Majumder S, Agrawal V D, Bushnell M L. On delayuntestable paths and stuck-fault redundancy. InProc. 16th IEEE VLSI Test Symp., 1998, pp.194–199.

  13. Lam W K, Saldanha A, Brayton R K, Sangiovanni-Vincentelli A L. Delay fault coverage, test set size, and performance trade-offs.IEEE Trans. CAD, Jan. 1995, 14: 32–44.

    Article  Google Scholar 

  14. Gharaybeh M A, Bushnell M L, Agrawal V D. Classification and test generation for path-delay faults using single stuck-fault tests.J. Electronic Testing: Theory and Applications, Aug. 1997, 11: 55–67.

    Article  Google Scholar 

  15. Gharaybeh M A, Bushneli M L, Agrawal V D. Falsepath removal using delay fault simulation. InProc. 7th IEEE Asian Test Symp., Dec. 1998.

  16. Majhi A K, Jacob J, Patnaik L M, Agrawal V D. On test coverage of path delay faults. InProc. 9th International Conf. VLSI Design, Jan. 1996, pp.418–421.

  17. Saldanha A, Brayton R, Sangiovanni-Vincentelli A. Equivalence of robust delay-fault and single stuck-fault test generation. InProc. 29th Design Automation Conf., June 1992, pp.173–176.

  18. Sparmann U, Koeller L. Improving path delay fault testability by path removal. InProc. 16th IEEE VLSI Test Symp., 1998, pp.200–208.

  19. Cheng K T, Chen H C. Classification and identification of nonrobust untestable path delay faults.IEEE Trans. CAD, Aug. 1996, 15: 845–853.

    Article  Google Scholar 

  20. Gharaybeh M A. Testing for timing correctness of high-speed VLSI circuits [Dissertation]. ECE Dept., Rutgers University, Oct. 1996.

  21. Sivaraman M, Strojwas A J. Primitive path delay fault identification. InProc. 10th International Conf. VLSI Design, 1997, pp.95–100.

  22. Majhi A K, Agrawal V D. Tutorial: Delay fauit models and coverage. InProc. 11th International Conf. VLSI Design, 1998, pp.364–369.

  23. Cheng K T, Chen H C. Delay testing for non-robust untestable circuits. InProc. International Test Conf., Oct. 1993, pp.954–961.

  24. Ke W, Menon P R. Synthesis of delay-verifiable combinational circuits.IEEE Trans. Computers, Feb. 1995, 44: 213–222.

    Article  MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Additional information

Regular Paper

This work was funded in part by Motorola India Electronics Ltd., Bangalore 560042, India.

An earlier version of this paper appeared in the Proceedings of the 12th Int. Coaf. VLSI Design, Jan. 1999.

Subhashis Majumder is a professor and course leader for the Computer Science and Engineering Department of International Institute of Information Technology, Kolkata. He started his career in Texas Instruments India Pvt. Ltd. and has over seven years of industry experience. He received his M. Tech degree in computer science from the Indian Statistical Institute, Kolkata in 1996. His undergraduate work was done in the Electronics and Telecommunication Engineering Dept. of the Jadvpur University, Koikata. He also worked as a research assistant in the Computer Eng. Dept. of Rutgers University for a year. He has led product development teams working on protocol stack development as well as VoIP. His current areas of interest include delay fault testing, wire routing, partitioning, approximation algorithms, and application of computational geometry to CAD problems.

Bhargab B. Bhattacharya received the B.Sc. degree in physics from the Presidency College, Calcutta, the B.Tech. and M.Tech. degrees in radiophysics and electronics, and the Ph.D. degree in computer science all from the University of Calcutta, India. Since 1982, he has been on the faculty of the Indian Statistical Institute, Calcutta, where currently he is a full professor. He visited the Department of Computer Science and Engineering, University of Nebraska-Lincoln, USA, during 1985–1987, and 2001–2002, and the Fault-Tolerant Computing Group, Institute of Informatics, at the University of Potsdam, Germany during 1998–2000. His research interest includes logic synthesis and testing of VLSI circuits, physical design, graph algorithms, and image processing architecture. He has published more than 130 papers in archival journals and refereed conference proceedings, and holds 6 United States patents. Currently, he is collaborating with Intel Corporation, USA, and IRISA, France, for development of image processing hardware and reconfigurable parallel computing tools. Dr. Bhattacharya is a fellow of the Indian National Academy of Engineering. He served on the conference committees of the International Test Conference (ITC), the Asian Test Symposium (ATS), the VLSI Design and Test Workshop (VDAT), the International Conference on Advanced Computing (ADCOMP), and the International Conference on High-Performance Computing (HiPC). For the International Conference on VLSI Design, he served as Tutorial Co-Chair (1994), Program Co-Chair (1997), General Co-Chair (2000), and as a member of the Steering Committee during 2001–2003. He is on the editorial board of the Journal of Circuits, Systems, and Computers (World Scientific, Singapore), and the Journal of Electronic Testing: Theory and Applications (Kluwer Academic Publishers, USA). [http://www.isical.ac.in/~bhargab]

Vishwani D. Agrawal is the James J. Danaher Professor of Electrical and Computer Engineering at Auburn University, Alabama. He has over thirty years of industry and University experience, working at Bell Labs, Murray Hill, NJ; Rutgers University, New Brunswick, NJ; TRW, Redondo Beach, CA; IIT, Delhi, India; EG&G, Albuquerque. NM; and ATI, Champaign, IL. His areas of work include VLSI testing, lowpower design, and microwave antennas. He obtained his B.E. degree from the University of Roorkee (renamed as Indian Institute of Technology, Roorkee), India, in 1964; M.E. degree from the Indian Institute of Science, Bangalore, India, in 1966; and Ph.D. degree in electrical engineering from the University of Illinois, Urbana-Champaign, in 1971. He has published over 250 papers, has coauthored five books and holds thirteen United States patents. His textbook, Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits (Kluwer Academic Publishers), co-authored with M. L. Bushnell, was published in 2000. He is the founder and Editor-in-Chief (1990-) of the Journal of Electronic Testing: Theory and Applications, and a past Editor-in-Chief (1985–87) of the IEEE Design & Test of Computers magazine. He is the Founder and Consulting Editor of the Frontiers in Electronic Testing Book Series of Kluwer Academic Publishers, Boston. He is a co-founder of the International Conference on VLSI Design, and the International Workshops on VLSI Design and Test, held annually in India. He has served on numerous conference committees and is a frequently invited speaker. He was the invited Plenary Speaker at the 1998 International Test Conference, Washington D.C., and the Keynote Speaker at the Ninth Asian Test Symposium in December 2000. During 1989 and 1990, he served on the Board of Governors of the IEEE Computer Society, and in 1994, chaired the Fellow Selection Committee of that Society. He has received seven Best Paper Awards and one Honorable Mention Paper Award. In 1998, he received the Harry H. Goode Memorial Award of the IEEE Computer Society, for innovative contributions to the field of electronic testing, and in 1993, received the Distinguished Alumnus Award of the University of Illinois at Urbana-Champaign, in recognition of his outstanding contributions in design and test of VLSI systems. Dr. Agrawal is a fellow of the IEEE, the ACM, and IETE-India. He has served on the advisory boards of the ECE Departments at University of Illinois, New Jersey Institute of Technology, and the City College of the City University of New York. [http://www.ece.wisc.edu/~va]

Michael L. Bushnell is a professor and a Board of Trustees Research Fellow in the Electrical and Computer Engineering Department at Rutgers University, New Jersey. He was also a Henry Rutgers Research Fellow. He has 24 years of industry and university experience, working at General Electric, Honeywell, Instron, Applicon, and Rutgers University. He received his Ph.D. degree in 1986 and his M.S. degree in 1983, both from Carnegie Mellon University. His undergraduate work was done at the Massachusetts Institute of Technology. He is a Presidential Young Investigator (1990) of the National Science Foundation of the United States. He is a co-author of 4 books (including the leading VLSI testing textbook entitled Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits (Kluwer Academic Publishers, 2000), co-authored with Vishwani Agrawal), 91 papers, and 7 patents. He is the co-author of two Prize Papers and one Honorable Mention paper. He served twice as Program Co-Chair of the International Conference on VLSI Design (1995 and 1996), and twice as the Conference Vice-Chair of the North Atlantic Test Workshop (2002 and 2003). His current VLSI CAD research interests are automatic mixed-signal circuit test-pattern generation, built-in self-testing, synthesis for testability, fault modeling for nano-technology, and low-power design. [http://www.ece.rutgers.edu/directory/bushnell.html]

Rights and permissions

Reprints and permissions

About this article

Cite this article

Majumder, S., Bhattacharya, B.B., Agrawal, V.D. et al. A new classification of path-delay fault testability in terms of stuck-at faults. J. Comput. Sci. & Technol. 19, 955–964 (2004). https://doi.org/10.1007/BF02973460

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF02973460

Keywords

Navigation