Abstract
Yield loss caused by via failures is unacceptably high in many semiconductor manufacturing processes. Redundant via insertion (RVI) is a typical approach for improving manufacturing competitiveness. The double-via insertion in concurrent routing or post-routing stage is introduced to improve yield and reliability. However, RVI with double-via patterns requires a considerable routing resource, which limits the enhancement of RVI rate, especially in congested designs. In this paper, an efficient and effective post-layout RVI approach is proposed. The proposed RVI method is the first to overcome the limitation of double-via insertion by combining double-via and rectangle-via patterns. The proposed RVI method determines the via configuration used in a two-phase flow which can effectively increase the insertion rate of total via which is dominated by via1. Experimental results show that the proposed method uses multi-via mechanisms to improve the total via insertion rate in RVI designs from 86.8 to 92.7 % and to improve the via1 insertion rate from 73.5 to 85.1 %.
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig1_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig2_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig3_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig4_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig5_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig6_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig7_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig8_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig9_HTML.gif)
![](http://media.springernature.com/m312/springer-static/image/art%3A10.1007%2Fs00034-015-0010-z/MediaObjects/34_2015_10_Fig10_HTML.gif)
Similar content being viewed by others
References
R. Aitken, Dfm metrics for standard cells, in IEEE International Symposium on Quality Electronic Design (ISQED) (2006), pp. 490–496
Y. Ban, C. Choi, H. Shin, Y. Kang, W.H. Paik, Analysis and optimization of process-induced electromigration on signal interconnects in 16nm FinFET SoC (system-on-chip), in SPIE Advanced Lithography, Design-Process-Technology Co-optimization for Manufacturability VIII (2014), p. 90530P
Y. Ban, J. Sweis, P. Hurat, Y.C. Lai, Y. Kang, W.H. Paik, W. Xu, H. Song, Layout Induced Variability and Manufacturability Checks in FinFETs Process, in SPIE Advanced Lithography, Design-Process-Technology Co-optimization for Manufacturability VIII (2014), p. 90530I
T.F. Chang, T.C. Kan, S.H. Yang, S.J. Ruan, Enhanced redundant via insertion with multi-via mechanisms, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (2011), pp. 218–223
H.Y. Chen, M.F. Chiang, Y.W. Chang, L. Chen, B. Han, Full-chip routing considering double-via insertion. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 844–857 (2008)
H.A. Chien, T.C. Wang, Redundant-via-aware ECO routing, in Proceedings of the ASP-DAC (2014), pp. 418–423
T.H. Cormem, C.E. Leiserson, R.L. Rivest, C. Stein, Introduction to Algorithms (The MIT Press, Cambridge, 2009)
T. Fujimaki, K. Higashi, N. Nakamura, N. Matsunaga, K. Yoshida, N. Miyawaki, M. Hatano, M. Hasunuma, J. Wada, T. Nishioka, K. Akiyama, H. Kawashima, Y. Enomoto, T. Hasegawa, K. Honda, M. Iwai, S. Yamada, F. Matsuoka, Mechanism of moisture uptake induced via failure and its impact on 45nm node interconnect design, in IEEE International Electron Devices Meeting, (2005), pp. 183–186
C. Guardiani, N. Dragone, P. McNamara, Proactive design for manufacturing (dfm) for nanometer soc designs, in Proceedings of the IEEE Custom Integrated Circuits Conference, (2004), pp. 309–316
W. Guo, S. Chen, M.F. Chiang, J.W. Shen, T. Yoshimura, Convex-cost flow based redundant-via insertion with density-balance consideration, in ASICON, IEEE 8th International Conference on ASIC, (2009), pp. 1280–1283
H. Heineken, J. Khare, M. dAbreu, Manufacturability analysis of standard cell libraries, in Proceedings of the IEEE (1998), pp. 321–324
X. Hong, Y. Cai, H. Yao, D. Li, Dfm-aware routing for yield enhancement, in Proceedings Asia Pacific Conference on APCCAS, (2006), pp. 1091–1094
IC Compiler, Synopsys, Mountain View, California (2010)
T.C. Kan, H.M. Hong, Y.J. Chen, S.J. Ruan, Configurable redundant via-aware standard cell design considering multi-via mechanism, in IEEE Internation Symposium on Quality Electronic Design (ISQED) (2013), pp. 322–326
T.C. Kan, S.H. Yang, T.F. Chang, S.J. Ruan, Design of a practical nanometer-scale redundant via-aware standard cell library for improved redundant via1 insertion rate, in IEEE Trans. Very Large Scale Integration (VLSI) Systems (2013), pp. 142–147
K.Y. Lee, S.T. Lin, T.C. Wang, Enhanced double via insertion using wire bending. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 171–184 (2010)
S.T. Lin, K.Y. Lee, T.C. Wang, C.K. Koh, K.Y. Chao, Simultaneous redundant via insertion and line end extension for yield optimization, in 16th Asia and South Pacific Design Automation Conference (ASP-DAC) (2011), pp. 633–638
C.T. Lin, Y.H. Lin, G.C. Su, Y. L. Li, Dead via minimization by simultaneous routing and redundant via insertion, in Design Automation Conference (ASP-DAC), 15th Asia and South Pacific (2010), pp. 657–662
T.Y. Lin, T.H. Lin, H.H. Tung, R.B. Lin, Double-via-driven standard cell library design, in Design, Automation Test in Europe Conference Exhibition (DATE) (2007), pp. 1–6
F. Luo, Y. Jia, W.W.M. Dai, Yield-preferred via insertion based on novel geotopological technology, in Asia and South Pacific Conference on Design Automation (2006), p. 6
K. McCullen, Redundant via insertion in restricted topology layouts, in IEEE International Symposium on Quality Electronic Design (ISQED) (2007), pp. 821–828
C.W. Pan, Y. M. Lee, Redundant via insertion under timing constraints, in 12th International Symposium on Quality Electronic Design (ISQED) (2011), pp. 1–7
D. Pan, M. Wong, Manufacturability-aware physical layout optimizations, in ICICDT, International Conference on Integrated Circuit Design and Technology, (2005), pp. 149–153
J.W. Shen, C.M. Fang, S. Chen, W. Guo, T. Yoshimura, Redundant via allocation for layer partition-based redundant via insertion, in IEEE 8th International Conference on ASIC (ASICON 09) (2009), pp. 734–737
H. Sunagawa, H. Terada, A. Tsuchiya, K. Kobayashi, H. Onodera, in Effect of regularity-enhanced layout on variability and circuit performance of standard cells, vol 3 (2010), pp. 130–139
C.C. Tsai, C.C. Kuo, L.J. Gu, T.Y. Lee, Double-via insertion enhanced x-architecture clock routing for reliability, in IEEE International Symposium on Circuits and Systems (ISCAS) (2010), pp. 3413–3416
J.G. Xi, Improving Yield in RTL-to-GDSII Flows, EE Times. 11 July 2005
G. Xu, L. Huang, D. Pan, M. Wong, Redundant-via enhanced maze routing for yield improvement, in Proceedings of the ASP-DAC, (2005), pp. 1148–1151
J.T. Yan, B.Y. Chiang, Z.W. Chen, Yield-driven redundant via insertion based on probabilistic via-connection analysis, in IEEE International Conference on Electronics, Circuits and Systems, (2006), pp. 874–877
H. Yao, Y. Cai, Q. Zhou, X. Hong, Multilevel routing with redundant via insertion. IEEE Trans. Circuits Syst. II Express Briefs 1148–1152, (2006)
Y. Zorian, Optimizing manufacturability by design for yield, in IEEE/CPMT/SEMI Electronics Manufacturing Technology Symposium (2004), pp. 255–258
Author information
Authors and Affiliations
Corresponding author
Rights and permissions
About this article
Cite this article
Kan, TC., Ruan, SJ., Chang, TF. et al. Post-layout Redundant Via Insertion Approach Considering Multiple Via Configuration. Circuits Syst Signal Process 34, 3353–3372 (2015). https://doi.org/10.1007/s00034-015-0010-z
Received:
Revised:
Accepted:
Published:
Issue Date:
DOI: https://doi.org/10.1007/s00034-015-0010-z