Skip to main content
Log in

Dynamic Threshold Sleep Transistor Technique for High Speed and Low Leakage in CMOS Circuits

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Leakage power dissipation is a serious concern in deep nanometer devices. Low power design methodology is often adopted in VLSI circuits and systems to minimize power; however, this is achieved at the cost of performance penalty. In this paper, we first review the existing circuit techniques for leakage minimization. A new circuit technique is then proposed which is designed intelligently by mixing a pair of dynamic threshold sleep transistors and a pair of helper transistors. The performance of the proposed technique is investigated in terms of area, power, delay and power–delay product. Extensive SPICE simulation with 32 nm process technology shows a significant reduction in power, delay and power–delay product.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

Abbreviations

DTMOS:

Dynamic threshold MOS

MTCMOS:

Multi-threshold CMOS

LFA:

Leakage feedback approach

SKA:

Sleepy keeper approach

DG:

Drain gating

PUN:

Pull-up network

PDN:

Pull-down network

PDP:

Power–delay product

LCTs:

Leakage control transistors

\({V}_{\mathrm{t}}\) :

Threshold voltage

GLBB:

Gate-level body bias

DLSL:

Dynamic logic suppression logic

References

  1. A. Abdollahi, F. Fallah, M. Pedram, Leakage current reduction in CMOS VLSI circuits by input vector control. IEEE Trans. Very Large Scale Integr. Syst. 12, 140–154 (2004)

    Article  Google Scholar 

  2. A. Agarwal, C.H. Kim, S. Mukhopadhyay, K. Roy, Leakage in nano-scale technologies: mechanisms, impact and design considerations, in ACM/IEEE Design Automation Conference, pp. 6–11 (2004)

  3. A.P. Chandrakasan, Low-power CMOS digital design. IEEE J. Solid State Circuit 27, 473–484 (1992)

    Article  Google Scholar 

  4. K. Chopra, S.B.K. Vrudhula, Implicit pseudo Boolean enumeration algorithms for input vector control, inProceedings of IEEE-ACM Design Automation Conference, pp. 767–772 (2004)

  5. P. Corsonello, M. Lanuzza, S. Perri, Gate-level body biasing technique for high speed sub-threshold CMOS logic gates. Int. J. Circuit Theory Appl. 42, 65–70 (2014)

    Article  Google Scholar 

  6. J.W. Chun, C.Y. Roger Chen, A Novel leakage reduction technique for CMOS circuit design, in: Proceedings of ISOCC, pp. 119–122 (2010)

  7. A.J. Drake, N. Zamdmer, K.J. Nowka, R.B. Brown, Analysis of the impact of gate body signal phase on DTMOS Inverters in \(0.13\mu \text{m}\) PD-SOI, in IEEE International SOI Conference, pp. 99–100 (2003)

  8. H. Fuketa, M. Hashimoto, Y. Mitsuyama, T. Onoye, Transistor variability modeling and its validation with ring-oscillation frequencies for body-biased sub-threshold circuits. IEEE Trans Very Large Scale Integr. Syst. 18, 1118–1129 (2010)

    Article  Google Scholar 

  9. N. Hanchate, N. Ranganathan, Lector: a technique for leakage reduction in CMOS circuits. IEEE Trans. VLSI Integr. Syst. 22, 196–205 (2004)

    Article  Google Scholar 

  10. M. Horowitz, Low-power digital design, in International Symposium on Low Power Electronics and Design, pp. 8–11 (1994)

  11. Y.T. Ho, T.T. Hwang, Low power design using dual threshold voltage, in IEEE ASP Design Automation Conference, pp. 205–208 (2004)

  12. http://www.eecs.berkeley.edu/~alanmi/abc

  13. http://ptm.asu.edu

  14. K. Hun, V.J. Mooney, Sleepy keeper: a new approach to low-leakage power VLSI design, in Proceedings of 2006 IFIP International Conference on Very Large Scale Integration, pp. 367–372 (2006)

  15. J. Kao, A. Chandrakasan, MTCMOS sequential circuits, in Proceedings of European Solid-State Circuits Conference, pp. 332–335 (2001)

  16. W. Lim, I. Lee, D. Sylvester, D. Blaauw, Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic, in IEEE International Solid-State Circuits Conference (ISSCC), pp. 146–147 (2015)

  17. S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki Member, S. Shigematsu, J. Yamada, 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS. IEEE J. Solid State Circuits 30, 847–854 (1995)

    Article  Google Scholar 

  18. B.C. Paul, Device optimization for digital sub-threshold logic operation. IEEE Trans. Electron Device 52, 237–247 (2005)

    Article  Google Scholar 

  19. K .Roy, W. Liqiong, C. Zarping, Multiple-\(\text{ V }_{{\rm dd}}\) multiple-\(\text{ V }_{{\rm th}}\) CMOS (MVCMOS) for low power applications, in Proceedings of the 1999 IEEE International Symposium on circuits and systems, pp. 360–370 (1999)

  20. K. Roy, S. Prasad, Low-Power CMOS VLSI Circuit Design (Wiley Interscience, New York, 2000)

    Google Scholar 

  21. A. Sayed, H. Al Asaad, A new low power high performance flip-flop, in 49th IEEE International Midwest Symposium on Circuits and Systems, pp. 723–726 (2006)

  22. K. Srikanth, K. Dhireesha, GALEOR: leakage reduction for CMOS circuit, inproceedings of 15th IEEE International Conference on Electronics Circuits and Systems, pp. 574–577 (2008)

  23. M.H. Sulieman, V. Beiu, W. Ibrahim, Low-power and highly reliable logic gates: transistor-level optimizations, inProceedings of 10th IEEE conference on Nanotechnology Joint Symposium with Nano Korea, pp. 254–257 (2010)

  24. A. Tajalli, E. Vittoz, Y. Leblebici, E.J. Brauer, Ultra-low power subthreshold current-mode logic utilizing PMOS load device. IET Electron Lett. 43, 911–913 (2007)

    Article  Google Scholar 

Download references

Acknowledgments

The authors thank the Editors and the anonymous reviewers for their constructive comments and suggestions to improve the manuscript.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rohit Lorenzo.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Lorenzo, R., Chaudhury, S. Dynamic Threshold Sleep Transistor Technique for High Speed and Low Leakage in CMOS Circuits. Circuits Syst Signal Process 36, 2654–2671 (2017). https://doi.org/10.1007/s00034-016-0442-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-016-0442-0

Keywords

Navigation