Skip to main content
Log in

Pipeline Reconfigurable DSP for Dynamically Reconfigurable Architectures

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Dynamically reconfigurable architectures, such as NATURE, achieve high logic density and low reconfiguration latency compared to traditional field-programmable gate arrays. Unlike fine-grained NATURE, reconfigurable DSP block incorporated NATURE architecture achieves significant improvement in performance for mapping compute-intensive arithmetic operations. However, the DSP block fails to fully exploit the potential provided by the run-time reconfiguration. This paper presents a pipeline reconfigurable DSP architecture to target the NATURE platform that supports temporal logic folding. The proposed approach allows the DSP pipeline stages to be reconfigured independently such that different functions can be performed distinctively and individually at every clock interval during runtime. In addition, a multistage clock gating technique is also used in the design to minimize the power consumption. We also extend NanoMap tool for mapping circuits on NATURE platform to exploit the pipeline-level reconfigurability of our proposed DSP block to enable efficient resource sharing and area/power reduction. Simulation results on 13 benchmarks show that the proposed approach enables area-delay improvement of up to 3.6\(\times \) compared to the fine-grained NATURE architecture. The proposed architecture also delivers 31.42% reduction in area and a maximum of 4.18\(\times \) improvement in power-delay compared to existing NATURE architecture. We also observe an average improvement of 29 and 54.13% in performance and area when compared to commercial Xilinx Spartan-3A DSP platform, thereby allowing the designers to tune the circuit implementations for the area, power, or performance benefits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Altera Corporation: Design Planning for Partial Reconfiguration (2013)

  2. Altera Inc.: SV–5V1: Stratix V Device Handbook (2015)

  3. A. Ambede, K. Smitha, A.P. Vinod, Flexible low complexity uniform and nonuniform digital filter banks with high frequency resolution for multistandard radios. IEEE Trans. Very Large Scale Integr. Syst. 23(4), 631–641 (2015)

    Article  Google Scholar 

  4. V. Betz, J. Rose, VPR: a new packing, placement and routing tool for FPGA research. In Proceedings of International Conference on Field-Programmable Logic and Applications (FPL), pp. 213–222 (1997)

  5. F. Bruekers, Symmetry and Efficiency in Complex Fir Filters (Philips Research Laboratories, Eindhoven, 2009)

    Google Scholar 

  6. S. Cadambi, J. Weener, S.C. Goldstein, H. Schmit, D.E. Thomas, Managing pipeline-reconfigurable FPGAs. In: Proceedings of International Symposium on Field Programmable Gate Arrays (FPGA), pp. 55–64 (1998)

  7. T.K. Callaway, E.E. Swartzlander Jr, Power-Delay Characteristics of CMOS Multipliers. In: Proceedings of Symposium on Computer Arithmetic, pp. 26–32 (1997)

  8. W. Chong, S. Ogata, M. Hariyama, M. Kameyama, Architecture of a multi-context FPGA using reconfigurable context memory. In Proceedings of 19th IEEE International Parallel and Distributed Symposium, pp. 144a–144a. (IEEE, 2005)

  9. A. DeHon, Dynamically programmable gate arrays: a step toward increased computational density. In Proceedings of the Workshop on Field-Programmable Devices, pp. 47–54 (1996)

  10. D. Deshpande, A.K. Somani, A. Tyagi, Configuration caching vs data caching for striped FPGAs. In Proceedings of International Symposium on Field Programmable Gate Arrays (FPGA), pp. 206–214 (1999)

  11. S. Dhabu, K.G. Smitha, A.P. Vinod, Design of reconfigurable filter bank architecture using improved coefficient decimation-interpolation-masking technique for multi-standard wireless communication receivers. J. Low Power Electron. 10(3), 417–428 (2014)

    Article  Google Scholar 

  12. I. Ghosh, A. Raghunathan, N.K. Jha, Hierarchical test generation and design for testability methods for ASPPs and ASIPs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(3), 357–370 (1999)

  13. S.C. Goldstein, H. Schmit, M. Budiu, S. Cadambi, M. Moe, R.R. Taylor, PipeRench: a reconfigurable architecture and compiler. Computer 33(4), 70–77 (2000)

    Article  Google Scholar 

  14. J.R. Hauser, J. Wawrzynek, Garp: a MIPS processor with a reconfigurable coprocessor. In Proceedings of The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp. 12–21 (IEEE, 1997)

  15. T.T. Hoang, M. Själander, P. Larsson-Edefors, High-speed, energy-efficient 2-cycle multiply-accumulate architecture. In Proceedings of International Conference on SOC (SOCC), pp. 119–122 (2009)

  16. M. Hübner, D. Göhringer, J. Noguera, J. Becker, Fast dynamic and partial reconfiguration data path with low hardware overhead on xilinx fpgas. In IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW), pp. 1–8 (IEEE, 2010)

  17. C. Lee, M. Potkonjak, W.H. Mangione-Smith, MediaBench: a tool for evaluating and synthesizing multimedia and communicatons systems. In Proceedings of International Symposium on Microarchitecture, pp. 330–335 (1997)

  18. S.E. Lee, N. Bagherzadeh, A variable frequency link for a power-aware network-on-chip (NoC). INTEGRATION VLSI J. 42(4), 479–485 (2009)

    Article  Google Scholar 

  19. T.-J. Lin, W. Zhang, N.K. Jha, FDR 2.0: A low-power dynamically reconfigurable architecture and its FinFET implementation. IEEE Trans. Very Large Scale Integr. Syst. 23(10), 1987–2000 (2015)

    Article  Google Scholar 

  20. T.J. Lin, W. Zhang, N.K. Jha, A fine-grain dynamically reconfigurable architecture aimed at reducing the FPGA-ASIC gaps. IEEE Trans. Very Large Scale Integr. Syst. 22(12), 2607–2620 (2014)

    Article  Google Scholar 

  21. L. Lingappan, S. Ravi, N.K. Jha, Satisfiability-based test generation for nonseparable RTL controller-datapath circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(3), 544–557 (2006)

  22. R. Lisanke, Logic Synthesis and Optimization Benchmarks User Guide: Version 2.0. Microelectronics Center of North Carolina (1988)

  23. R. Mahesh, A.P. Vinod, New reconfigurable architectures for implementing FIR filters with low complexity. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(2), 275–288 (2010)

  24. V.G. Moshnyaga, Reducing switching activity of subtraction via variable truncation of the most-significant bits. J. VLSI Signal Process. Syst. Signal Image Video Technol. 33(1–2), 75–82 (2003)

  25. P.G. Paulin, J.P. Knight, Force-directed scheduling for the behavioral synthesis of ASICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(6), 661–679 (1989)

  26. Power Analysis Estimation of a Digital Design: A Quick Tutorial: (2011). http://www.vlsiip.com/power/

  27. H.K. Puttam, P.S. Rao, N. Prasad, Implementation of low power and high speed multiplier-accumulator using SPST adder and verilog. Int. J. Mod. Eng. Res. 2(5), 3390–3397 (2012)

    Google Scholar 

  28. R. Sakthivel, K. Sravanthi, H.M. Kittur, Low power energy efficient pipelined multiply-accumulate architecture. In Proceedings of International Conference on Advances in Computing, Communications and Informatics, pp. 226–231 (2012)

  29. S.M. Scalera, J.R. Vázquez, The design and implementation of a context switching FPGA. In Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines, pp. 78–85 (IEEE, 1998)

  30. M. Själander, P. Larsson-Edefors, High-speed and low-power multipliers using the Baugh–Wooley algorithm and HPM reduction tree. In Proceedings of International Conference on Electronics, Circuits and Systems (ICECS), pp. 33–36 (2008)

  31. Tabula, Technical Support: Spacetime architecture (2010)

  32. S. Tehrani, J.M. Slaughter, M. Deherrera, B.N. Engel, N.D. Rizzo, J. Salter, M. Durlam, R.W. Dave, J. Janesky, B. Butcher et al., Magnetoresistive random access memory using magnetic tunnel junctions. Proc. IEEE 91(5), 703–714 (2003)

    Article  Google Scholar 

  33. K. Vipin, S.A. Fahmy, Architecture-aware reconfiguration-centric floorplanning for partial reconfiguration. In Reconfigurable Computing: Architectures, Tools and Applications, pp. 13–25 (Springer, 2012)

  34. K. Vipin, S.A. Fahmy, Automated partitioning for partial reconfiguration design of adaptive systems. In Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), 2013 IEEE 27th International, pp. 172–181 (IEEE, 2013)

  35. R. Warrier, L. Hao, W. Zhang, Reconfigurable DSP block design for dynamically reconfigurable architecture. In Proceedings of International Symposium on Circuits and Systems (ISCAS), pp. 2551–2554 (2014)

  36. R. Warrier, C. Vun, W. Zhang, A low-power pipelined MAC architecture using Baugh-Wooley based multiplier. In Proceedings of Global Conference on Consumer Electronics (GCCE), pp. 505–506 (IEEE, 2014)

  37. Xilinx Inc.: UG369: Virtex-6 FPGA DSP48E1 Slice User Guide (2011)

  38. W. Zhang, N.K. Jha, L. Shang, A hybrid nano/CMOS dynamically reconfigurable system–part II: design optimization flow. ACM J. Emerg. Technol. Comput. Syst. 5(3), 13 (2009)

    Article  Google Scholar 

  39. W. Zhang, N.K. Jha, L. Shang, A hybrid nano/CMOS dynamically reconfigurable system–part I: architecture. ACM J. Emerg. Technol. Comput. Syst. 5(4), 16 (2009)

    Google Scholar 

  40. W. Zhang, N.K. Jha, L. Shang, Design space exploration and data memory architecture design for a hybrid nano/CMOS dynamically reconfigurable architecture. ACM J. Emerg. Tech. Comput. Syst. (JETC) 5(4), 17 (2009)

    Google Scholar 

  41. W. Zhang, N.K. Jha, L. Shang, Low-power 3D nano/CMOS hybrid dynamically deconfigurable architecture. ACM J. Emerg. Technol. Comput. Syst. 6(3), 10 (2010)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rakesh Warrier.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Warrier, R., Zhang, W. & Vun, C.H. Pipeline Reconfigurable DSP for Dynamically Reconfigurable Architectures. Circuits Syst Signal Process 36, 3799–3824 (2017). https://doi.org/10.1007/s00034-017-0493-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-017-0493-x

Keywords

Navigation