Skip to main content
Log in

High-Performance Carry Select Adders

  • Short Paper
  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

This research article proposes high-performance square-root carry select adder (SQRT CSLA) architectures with high speed, area and energy efficiency when compared to the existing SQRT CSLA architectures. The first proposed method uses an optimized design of binary to excess-1 converter (BEC)-based SQRT CSLA by incorporating a carry enable binary to excess-1 converter (CEBEC) design that exploits a new logic optimization on the carry propagation path to improve speed of operation, area and energy efficiency. The second proposed method is an optimized design of the regular SQRT CSLA by employing the carry enable and add-one ripple carry adder (ARCA) architectures to decrease the number of gates. Simulation results show that the proposed CEBEC SQRT CSLA offers a savings of 22.81%, 9.95%, 7.95% and 3.98% on area, 14.50%, 5.21%, 3.72% and 3.11% in power consumption and offers 6.78%, 15.46%, 6.57% and 3.83% lesser delay, 20.33%, 19.92%, 10.09% and 6.89% lesser PDP, 28%, 23.85%, 13.78% and 7.58% lesser ADP than the existing regular, BEC SQRT CSLA, SQRT CSLA1 and SQRT CSLA2 architectures. The proposed ARCA SQRT CSLA architecture exhibits 9.26%, 17.71%, 9.06% and 6.39% lesser delay than the existing regular, BEC SQRT CSLA, SQRT CSLA1 and SQRT CSLA2 architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Data Availability

The VHDL codes of the proposed designs generated during the current study are available from the corresponding author on reasonable request.

References

  1. E.J. Alex, M. Vijayaraj, Energy efficient BEC modified carry select adder based PTMAC architecture for biomedical processors. Intell. Autom. Soft Comput. 23(2), 383–388 (2016)

    Article  Google Scholar 

  2. T.Y. Ceiang, M.J. Hsiao, Carry-select adder using single ripple carry adder. Electron. Lett. 34(22), 2101–2103 (1998)

    Article  Google Scholar 

  3. Y. Fan et al., A low-latency list successive-cancellation decoding implementation for polar codes. IEEE J. Sel. Areas Commun. 34(2), 303–317 (2016)

    Article  Google Scholar 

  4. V. Gupta, D. Mohapatra, A. Raghunathan, K. Roy, Low-power digital signal processing using approximate adders. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1), 124–137 (2013)

    Article  Google Scholar 

  5. M.U. Haque, Z.T. Sworna, H.M.H. Babu, A.K. Biswas, A fast FPGA-based BCD adder. Circuits Syst. Signal Process. 37, 4384–4408 (2018)

    Article  Google Scholar 

  6. G. He, D. Zhou, Y. Li, Z. Chen, T. Zhang, S. Goto, High-throughput power-efficient VLSI architecture of fractional motion estimation for ultra-HD HEVC video encoding. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(12), 3138–3142 (2015)

    Article  Google Scholar 

  7. R. Jothin, C. Vasanthanayaki, High performance compact energy efficient error tolerant adders and multipliers for 16-bit image processing applications. Microprocess. Microsyst. 78, 103237 (2020)

    Article  Google Scholar 

  8. R. Jothin, C. Vasanthanayaki, High performance error tolerant adders for image processing applications. IETE J. Res. (2018). https://doi.org/10.1080/03772063.2018.1535920

    Article  Google Scholar 

  9. R. Jothin, C. Vasanthanayaki, High performance four segment error tolerant adder for 8-bit pixel depth image processing applications. J. Sign Process. Syst. 92(7), 693–703 (2020)

    Article  Google Scholar 

  10. R. Jothin, C. Vasanthanayaki, High performance significance approximation error tolerance adder for image processing applications. J. Electron. Test. 32(3), 377–383 (2016)

    Article  Google Scholar 

  11. R. Jothin, C. Vasanthanayaki, High speed energy efficient static segment adder for approximate computing. J. Electron. Test. 33(1), 125–132 (2017)

    Article  Google Scholar 

  12. J. Kaur, L. Sood, Comparison between various types of adder topologies. IJCST 6(1), 62–66 (2015)

    Google Scholar 

  13. Y. Kim, L.-S. Kim, 64-bit carry-select adder with reduced area. Electron. Lett. 37(10), 614–615 (2001)

    Article  Google Scholar 

  14. W. Liu, L. Chen, C. Wang, M. O’Neill, F. Lombardi, Design and analysis of inexact floating-point adders. IEEE Trans. Comput. 65(1), 308–314 (2016)

    Article  MathSciNet  Google Scholar 

  15. P. Malarmannan, P. Sivaprakash, M. Rohit, J. Pradeep, Design and implementation of modified square root carry select adder in QCA. Int. J. Pure Appl. Math. 119(12), 14427–14432 (2018)

    Google Scholar 

  16. Y.S. Mehrabani, R.F. Mirzaee, Z. Zareei, S.M. Daryabari, A novel high-speed, low-power CNTFET-based inexact full adder cell for image processing application of motion detector. J. Circuits Syst. Comput. 26(5), 1750082 (2017)

    Article  Google Scholar 

  17. B.K. Mohanty, S. Patel, Area-delay-power efficient carry-select adder. IEEE Trans. Circuits Syst. II Express Briefs 61(6), 418–422 (2014)

    Article  Google Scholar 

  18. B. Moons, M. Verhelst, An energy-efficient precision-scalable convnet processor in 40nm CMOS. IEEE J. Solid-State Circuits (JSSC) 52(4), 903–914 (2017)

    Article  Google Scholar 

  19. P. Patali, S.T. Kassim, High throughput FIR filter architectures using retiming and modified CSLA based adders. IET Circuits Devices Syst. 13(7), 1007–1017 (2019)

    Article  Google Scholar 

  20. B. Ramkumar, H.M. Kittur, Low-power and area-efficient carry select adder. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(2), 371–375 (2012)

    Article  Google Scholar 

  21. A.S. Ramya, A.C.N. Mounica, B.R. Babu, Performance analysis of different 8-bit full adders. IOSR J. VLSI Signal Process (IOSR-JVSP) 5(4), 35–39 (2015)

    Google Scholar 

  22. M. Shafique, W. Ahmad, R. Hafiz, J. Henkel, A low latency generic accuracy configurable adder. In: 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp 1–6 (2015)

  23. A. Tapadar, S. Sarkari, A. Dutta, J. Mehedi, Power and area aware improved SQRT carry select adder (CSIA). In: 2nd International Conference on Trends in Electronics and Informatics (ICOEI), Tirunelveli. pp. 1064–1070 (2018) doi: https://doi.org/10.1109/ICOEI.2018.8553702

  24. S.D. Thabah, M. Sonowal, P. Saha, Experimental studies on multi-operand adders. Int. J. Smart Sens. Intell. Syst. 10(2), 327–340 (2017)

    Google Scholar 

  25. G. Themozhi, V. Thenmozhi, Propagation delay based comparison of parallel adders. J. Theor. Appl. Inf. Technol. 67(2), 306–315 (2014)

    Google Scholar 

  26. S. Venkatachalam, S. Ko, Design of power and area efficient approximate multipliers. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(5), 1782–1786 (2017)

    Article  Google Scholar 

  27. Z. Yang, J. Han, F. Lombardi, Transmission gate-based approximate adders for inexact computing. In: Proceedings of the NANOARCH, IEEE/ACM International Symposium on. IEEE, pp. 145–150 (2015)

  28. Z. Yang, A. Jain, J. Liang, J. Han, F. Lombardi, Approximate XOR/XNOR-based adders for inexact computing. IEEE-NANO, pp. 690–693 (2013)

  29. C. Zhang, L. Liu, D. Marković, V. Öwall, A heterogeneous reconfigurable cell array for MIMO signal processing. IEEE Trans. Circuits Syst. I Regul. Pap. 62(3), 733–742 (2015)

    Article  MathSciNet  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to R. Jothin.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jothin, R., Sreelatha, P., Ahilan, A. et al. High-Performance Carry Select Adders. Circuits Syst Signal Process 40, 4169–4185 (2021). https://doi.org/10.1007/s00034-021-01658-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-021-01658-0

Keywords

Navigation