Skip to main content
Log in

A 0.6 V Fast-Transient-Response 180 nm CMOS Digital LDO with Coarse-Fine Tuning and Analog Enhancement

  • Short Paper
  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

A coarse-fine tuning technique with analog enhancement (AE) operation is proposed for the digital low-dropout (DLDO) regulator. Once the undershoot or overshoot is detected, the coarse tuning quickly finds out the coarse control loop in which the load current should be located, with large power PMOS size and high sampling frequency. Then, the fine tuning, with reduced power PMOS size and sampling frequency, regulates the DLDO to the desired output voltage and takes over the steady-state operation for high accuracy and current efficiency. By using time-domain comparator instead of voltage-domain comparator, the voltage signal is converted to time signal and the comparison result is obtained through phase discriminator to identify phase difference, so that DLDO can realize low-voltage operation. Meanwhile, the AE operation is introduced to reduce the overshoot/undershoot voltage by increasing the transient current of the power PMOS. The proposed DLDO is designed using a 180 nm 1P6M CMOS process with a 0.09 mm2 active area. The post-simulated undershoot and overshoot voltages are only 50.26 and 34.66 mV, respectively, when the load current changes between 1 and 2.5 mA, and the maximum recovery time is 305.3 ns.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18

Similar content being viewed by others

Data Availability

The data supporting the findings of this study are available on request from the corresponding author, but cannot be shared at this time as the data also form part of an ongoing study.

References

  1. N.S. Bin, G. Samantak, R. Arijit, All-digital low-dropout regulator with adaptive control and reduced dynamic stability for digital load circuits. IEEE Trans. Power Electron. 31(12), 8293–8302 (2016)

    Google Scholar 

  2. G. Cai, C. Zhan, Y. Lu, A fast-transient-response fully-integrated digital LDO with adaptive current step size control. IEEE Trans. Circuits Syst. I Express Briefs 66(9), 3610–3619 (2019)

    Article  Google Scholar 

  3. Z. T. Deniz, M. Sperling, J. Bulzacchelli, et al. 5.2 distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor. IEEE International Solid-State Circuits Conference (ISSCC) Digital Technical Papers (2014), pp. 98–99

  4. Y. He and K. Yang. 25.3 A 65nm Edge-Chasing Quantizer-Based Digital LDO Featuring 4.58ps-FoM and Side-Channel-Attack Resistance. IEEE International Solid-State Circuits Conference (ISSCC) Digital Technical Papers (2020), pp. 384–386

  5. O.T. Jun, I.C. Hwang, A 110-nm CMOS 0.7-V input transient-enhanced digital low-dropout regulator with 99.98% current efficiency at 80-mA load. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(7), 1281–1286 (2015)

    Article  Google Scholar 

  6. N. Kurd, M. Chowdhury, E. Burton, et al. 5.9 haswell: A family of IA 22 nm processors. IEEE International Solid-State Circuits Conference (ISSCC) Digital Technical Papers (2014), pp. 112–113

  7. Y. Kim and P. Li. An ultra-low voltage digitally controlled low dropout regulator with digital background calibration. IEEE International Symposium on Quality Electronic Design Conference (ISQED) Papers (2012), pp. 151–158

  8. D. Kim and M. Seok. 8.2 fully integrated low-drop-out regulator based on event-driven pi control. IEEE International Solid-State Circuits Conference (ISSCC) Digital Technical Papers (2016), pp. 148–149

  9. Y. Lu, W.-H. Ki, and C. P. Yue. 17.11 a 0.65 ns-response-time 3.01ps FOM fully-integrated low-dropout regulator with full-spectrum power-supply-rejection for wide band communication system. IEEE International Solid-State Circuits Conference (ISSCC) Digital Technical Papers (2014), pp. 306–307

  10. Y.J. Lee, W. Qu, S. Singh et al., A 200-mA digital low drop-out regulator with coarse-fine dual loop in mobile application processor. IEEE J. Solid-State Circuits 52(1), 64–76 (2017)

    Article  Google Scholar 

  11. J. Lee, J. Bang, Y. Lim et al., A fast-transient and high-accuracy, adaptive-sampling digital LDO using a single-VCO-based edge-racing time quantizer. IEEE J. Solid-State Circuits 2(12), 305–308 (2019)

    Article  Google Scholar 

  12. S. Lee, S. Park, H. Park, J. Sim, A 21 fJ/Conversion-Step 100 kS/s 10-bit ADC with a low-noise time-domain comparator for low-power sensor interface. IEEE J. Solid-State Circuits 46(3), 651–659 (2011)

    Article  Google Scholar 

  13. Y. Okuma et al. 0.5-V input digital LDO with 98.7% current efficiency and 2.7-μA quiescent current in 65 nm CMOS. In: Proceedings IEEE Custom Integration Circuits Conference (2010), pp. 1–4

  14. L. Qian, D. Li, K. Qian, Y. Ye, Y. Xia, T. Mak, A fast-transient response digital low-dropout regulator with dual-modes tuning technique. IEEE Trans. Circuits Syst. II Express Briefs 67(12), 2943–2947 (2020)

    Article  Google Scholar 

  15. X. Sun, A. Boora, W. Zhang, V. R. Pamula and V. Sathe. 14.5 A 0.6-to-1.1 V Computationally regulated digital LDO with 2.79-cycle mean settling time and autonomous runtime gain tracking in 65 nm CMOS. IEEE International Solid-State Circuits Conference (ISSCC) Digital Technical Papers (2019). pp. 230–232

  16. I. Vaisband, E. Friedman, Heterogeneous methodology for energy efficient distribution of on-chip power supplies. IEEE Power Electron. 28(9), 4267–4280 (2013)

    Article  Google Scholar 

  17. I. Vaisband, R. Jakushokas, M. PopovichAndrey, V. Mezhiba, S. Köse, G. Friedman, On-chip power grids with multiple supply voltages. On-Chip Power Deliv. Manag. 35(6), 908–921 (2016)

    Google Scholar 

  18. S.J. Yun, J.S. Yun, Y.S. Kim, Capless LDO regulator achieving −76 dB PSR and 96.3 fs FOM. IEEE Trans. Circuits Syst. II Express Briefs 64(10), 1147–1151 (2017)

    Article  Google Scholar 

  19. F. Yang, P.K.T. Mok, A nanosecond-transient fine grained digital LDO with multi-step switching scheme and asynchronous adaptive pipeline control. IEEE J. Solid-State Circuits 52(9), 2463–2474 (2017)

    Article  Google Scholar 

Download references

Acknowledgements

This work is supported by the National Natural Science Foundation of China under Grant 61674122 and the Special Support Program for High-level Talents in Shaanxi of China.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Xingyuan Tong.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, K., Tong, X. A 0.6 V Fast-Transient-Response 180 nm CMOS Digital LDO with Coarse-Fine Tuning and Analog Enhancement. Circuits Syst Signal Process 41, 6513–6529 (2022). https://doi.org/10.1007/s00034-022-02064-w

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-022-02064-w

Keywords

Navigation