Skip to main content
Log in

Variation-Tolerant Sense Amplifier Using Decoupling Transistors for Enhanced SRAM Read Performance

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

A decoupled inverter pair sense amplifier (DIPSA) is proposed for improved sensing in differential SRAM. The DIPSA is designed at 45-nm technology node with a decreased sensing delay of 31.44% and 28.44% over the conventional latch-type sense amplifier (CLSA) and current-latched SA with an NMOS footswitch (CSANF) at 1.1 V, respectively. The performance of DIPSA is validated using delay and power consumption comparison with prior SA designs across a set of supply voltages. Monte Carlo simulation for 3\(\sigma \) deviation yields a reliable design with no read failures and mean delay of 488.81 ps across the 1k data points with a deviation of 54.87 ps. The threshold voltage variation contribution to process variation is considered for these calculations. The sizing of the decoupling transistors is discussed and its impact on the delay and power of DIPSA shown. The performance of existing voltage and current sense amplifiers is compared to DIPSA.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

Data Availability

My manuscript has no associated data

References

  1. Arizona State University NI, Group M (2008) Predictive Technology Models. http://ptm.asu.edu/latest.html

  2. A.T. Do, Z.H. Kong, K.S. Yeo, Hybrid-mode SRAM sense amplifiers: new approach on transistor sizing. IEEE Trans. Circuits Syst. II Exp. Br. 55(10), 986–990 (2008)

    Google Scholar 

  3. A.T. Do, Z.H. Kong, K.S. Yeo et al., Design and sensitivity analysis of a new current-mode sense amplifier for low-power SRAM. IEEE Trans. Very Large Scale Integr. Syst. (VLSI) 19(2), 196–204 (2011)

    Article  Google Scholar 

  4. D. Dutt, P. Mittal, B. Rawat et al., Design and performance analysis of high-performance low power voltage mode sense amplifier for static RAM. Adv. Electr. Electron. Eng. 20(3), 285–293 (2022)

    Google Scholar 

  5. C. Hernández, A. Roca, J. Flich et al., Characterizing the impact of process variation on 45 nm NoC-based CMPs. J. Parallel Distrib. Comput. 71(5), 651–663 (2011)

    Article  Google Scholar 

  6. W. Marble, K. Kotani, C. Petrie, Practical charge-transfer amplifier design architectures for low-power flash A/D converters. IEEE Trans. Circuits Syst. I Regul. Pap. 51(11), 2157–2164 (2004)

    Article  Google Scholar 

  7. J.K. Mishra, B.B. Upadhyay, P.K. Misra et al., Design and analysis of SRAM cell using body bias controller for low power applications. Circuits Syst. Signal Process. 40(5), 2135–2158 (2021)

    Article  Google Scholar 

  8. P. Mittal, B. Rawat, N. Kumar, Tetra-variate scrutiny of diverse multiplexer techniques for designing a barrel shifter for low power digital circuits. Microprocess. Microsyst. 90, 104491 (2022)

    Article  Google Scholar 

  9. M. Moghaddam, S. Timarchi, M.H. Moaiyeri et al., An ultra-low-power 9T SRAM cell based on threshold voltage techniques. Circuits, Syst., Signal Process. 35, 1437–1455 (2016)

    Article  Google Scholar 

  10. S. Pandey, S. Kumar, V. Bhatnagar et al., A low leakage substrate bias-assisted technique for low voltage dual bit-line SRAM. Comput. Electr. Eng. 102, 108216 (2022)

    Article  Google Scholar 

  11. D. Patel, A. Neale, D. Wright et al., Hybrid latch-type offset tolerant sense amplifier for low-voltage SRAMs. IEEE Trans. Circuits Syst. I: Regul. Pap. 66(7), 2519–2532 (2019)

    Article  Google Scholar 

  12. D. Patel, A. Neale, D. Wright et al., Body biased sense amplifier with auto-offset mitigation for low-voltage SRAMs. IEEE Trans. Circuits Syst. I Regul. Pap. 68(8), 3265–3278 (2021)

    Article  Google Scholar 

  13. B. Rawat, P. Mittal, A 32 nm single-ended single-port 7T static random access memory for low power utilization. Semicond. Sci. Technol. 36(9), 095006 (2021)

    Article  Google Scholar 

  14. B. Rawat, P. Mittal, A comprehensive analysis of different 7T SRAM topologies to design a 1R1W bit interleaving enabled and half select free cell for 32 nm technology node. in Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences 478(2259), 20210745 (2022)

  15. B. Rawat, P. Mittal, A reliable and temperature variation tolerant 7T SRAM cell with single bitline configuration for low voltage application. Circuits Syst. Signal Process. 41(5), 2779–2801 (2022)

    Article  Google Scholar 

  16. B. Rawat, P. Mittal, A switching NMOS based single ended sense amplifier for high density SRAM applications. ACM Trans. Des. Autom. Electron. Syst. 28(3), 1–14 (2023)

    Article  Google Scholar 

  17. D. Schinkel, E. Mensink, E. Klumperink et al., A double-tail latch-type voltage sense amplifier with 18ps setup+ hold time, in 2007 IEEE international solid-state circuits conference. (Digest of technical papers, IEEE, 2007), pp. 314–605

  18. E. Seevinck, P.J. van Beers, H. Ontrop, Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM’s. IEEE J. Solid-State Circuits 26(4), 525–536 (1991)

    Article  Google Scholar 

  19. V. Sharma, S. Cosemans, M. Ashouei et al., A 4.4 pJ/access 80 MHz, 128 kbit variability resilient SRAM with multi-sized sense amplifier redundancy. IEEE J. Solid-State Circuits 46(10), 2416–2430 (2011)

    Article  Google Scholar 

  20. N. Verma, A.P. Chandrakasan, A 65nm 8T sub-Vt SRAM employing sense-amplifier redundancy, in 2007 IEEE International Solid-State Circuits Conference. (Digest of Technical Papers, IEEE, 2007), pp. 328–606

  21. B. Wicht, T. Nirschl, D. Schmitt-Landsiedel, Yield and speed optimization of a latch-type voltage sense amplifier. IEEE J. Solid-State Circuits 39(7), 1148–1158 (2004)

    Article  Google Scholar 

  22. M. Wieckowski, S. Patil, M. Margala, Portless SRAM-A high-performance alternative to the 6T methodology. IEEE J. Solid-State Circuits 42(11), 2600–2610 (2007)

    Article  Google Scholar 

  23. Y. Zhao, J. Wang, Z. Tong et al., An offset cancellation technique for SRAM sense amplifier based on relation of the delay and offset. Microelectron. J. 128, 105578 (2022)

    Article  Google Scholar 

  24. J. Zhu, N. Bai, J. Wu, A review of sense amplifiers for static random access memory. IETE Tech. Rev. 30(1), 72–81 (2013)

    Article  Google Scholar 

Download references

Funding

Not applicable

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Poornima Mittal.

Ethics declarations

Conflict of interest

Not applicable

Code Availability

Not applicable

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dahiya, A., Mittal, P. & Rohilla, R. Variation-Tolerant Sense Amplifier Using Decoupling Transistors for Enhanced SRAM Read Performance. Circuits Syst Signal Process 42, 5799–5810 (2023). https://doi.org/10.1007/s00034-023-02397-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-023-02397-0

Keywords

Navigation