Skip to main content
Log in

Hybrid on-chip soft computing model for performance evaluation of 6T SRAM cell using 45-nm technology

  • Methodologies and Application
  • Published:
Soft Computing Aims and scope Submit manuscript

Abstract

A hybrid on-chip approach based on the variants of soft computing techniques is proposed in this work to evaluate the performance metrics of a 6T static random access memory (SRAM) cell in 45-nm technology. The performance metric evaluated for the SRAM cell is the data retention voltage (DRV) with optimal memory requirements. Each of the SRAM memory cells intends the chip to possess low density but operates at high speed. This paper formulates a hybrid soft computing framework comprising a deep backpropagation neural network which trains the fuzzy inference system to determine the performance metrics of 6T SRAM cell. The weight and bias parameters of the deep learning neural framework are optimized through a cat swarm optimization algorithm so as to reduce the elapsed convergence time of the new hybrid soft computing model. Evaluation process is executed based on the driven outputs from deep learning model to the fuzzy inference system (FIS) module so as to achieve the best values of DRV. Data retention voltage plays a major role in reducing the substantial leakage current and static noise margin intends to retain the data without losing them. Deep backpropagation neural network gets trained with deep learning procedures and optimizes the rule parameters and membership parameters of the FIS design structure. The performance metric DRV under various constraints prove to be better and effective in comparison with the solutions from the existing literature works for the same configuration of 6T SRAM cell using 45-nm technology.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8

Similar content being viewed by others

References

  • Agrawal A, Roy K (2018) RECache: ROM-embedded 8-transistor SRAM caches for efficient neural computing. In: 2018 IEEE international workshop on signal processing systems (SiPS). IEEE, pp. 19–24

  • Basak D, Baishnab KL, Joseph F (2014) Reduced access time with WTA sense amplifier for standard CMOS SRAM cell. In: 2014 International conference on advances in electrical engineering (ICAEE). IEEE, pp. 1–4

  • Biswas A, Chandrakasan AP (2018) CONV-SRAM: an energy-efficient SRAM with in-memory dot-product computation for low-power convolutional neural networks. IEEE J Solid-State Circuits 54(1):217–230

    Article  Google Scholar 

  • Bong K, Choi S, Kim C, Han D, Yoo HJ (2017) A low-power convolutional neural network face recognition processor and a CIS integrated with always-on face detector. IEEE J Solid-State Circuits 53(1):115–123

    Article  Google Scholar 

  • Chen PY, Peng X, Yu S (2017) NeuroSim+: an integrated device-to-algorithm framework for benchmarking synaptic devices and array architectures. In: 2017 IEEE international electron devices meeting (IEDM). IEEE, pp. 6–1

  • Chen C, Ding H, Peng H, Zhu H, Wang Y, Shi CJR (2018) OCEAN: an on-chip incremental-learning enhanced artificial neural network processor with multiple gated-recurrent-unit accelerators. IEEE J Emerg Sel Top Circuits Syst 8(3):519–530

    Article  Google Scholar 

  • Choi W, Park J (2017) An efficient convolutional neural networks design with heterogeneous SRAM cell sizing. In: 2017 International SoC design conference (ISOCC). IEEE, pp. 103–104

  • Choi K, Choi W, Shin K, Park J (2017) Bit-width reduction and customized register for low cost convolutional neural network accelerator. In: 2017 IEEE/ACM international symposium on low power electronics and design (ISLPED). IEEE, pp. 1–6

  • Conti F, Schiavone PD, Benini L (2018) XNOR neural engine: a hardware accelerator IP for 21.6-fJ/op binary neural network inference. IEEE Trans Comput-Aided Des Integr Circuits Syst 37(11):2940–2951

    Article  Google Scholar 

  • Donato M, Reagen B, Pentecost L, Gupta U, Brooks D, Wei GY (2018) On-chip deep neural network storage with multi-level eNVM. In: Proceedings of the 55th annual design automation conference. ACM, p. 169

  • Guo L, Meng Z, Sun Y, Wang L (2016) Parameter identification and sensitivity analysis of solar cell models with cat swarm optimization algorithm. Energy Convers Manag 108:520–528

    Article  Google Scholar 

  • Huang G, Qian L, Saibua S, Zhou D, Zeng X (2013) An efficient optimization based method to evaluate the DRV of SRAM cells. IEEE Trans Circuits Syst I Regul Pap 60(6):1511–1520

    Article  Google Scholar 

  • Hwang KS, Hsu YP (2004) An innovative architecture of CMAC. IEICE Trans Electron 87(1):81–93

    Google Scholar 

  • Jadon A, Akashe S (2014) Hybrid CMOS-memristor 4T-NVSRAM cell for low power applications. In: 2014 Innovative applications of computational intelligence on power, energy and controls with their impact on humanity (CIPECH). IEEE, pp. 369–373

  • Joshi VK, Nayak C (2018) DRV evaluation of 6T SRAM cell using efficient optimization techniques. Act Passive Electron Compon 2018:1–12

    Article  Google Scholar 

  • Kim S, Howe P, Moreau T, Alaghi A, Ceze L, Sathe VS (2018) Energy-efficient neural network acceleration in the presence of bit-level memory errors. IEEE Trans Circuits Syst I Regul Pap 65(12):4285–4298

    Article  Google Scholar 

  • Lee J, Shin D, Kim Y, Yoo HJ (2017) A 17.5-fj/bit energy-efficient analog Sram for mixed-signal processing. IEEE Trans Very Large Scale Integr (VLSI) Syst 25(10):2714–2723

    Article  Google Scholar 

  • Liu Y, Luan S, Williams I, Rapeaux A, Constandinou TG (2017) A 64-channel versatile neural recording SoC with activity-dependent data throughput. IEEE Trans Biomed Circuits Syst 11(6):1344–1355

    Article  Google Scholar 

  • Liu R, Peng X, Sun X, Khwa WS, Si X, Chen JJ, Li JF, Chang MF, Yu S (2018) Parallelizing SRAM arrays with customized bit-cell for binary neural networks. In: Proceedings of the 55th annual design automation conference. ACM, p. 21

  • Luo C, Ying Z, Zhu X, Chen L (2017) A mixed-signal spiking neuromorphic architecture for scalable neural network. In: 2017 9th international conference on intelligent human-machine systems and cybernetics (IHMSC), vol 1. IEEE, pp. 179–182

  • Ma X, Zhang Y, Yuan G, Ren A, Li Z, Han J, Hu J, Wang Y (2018) An area and energy efficient design of domain-wall memory-based deep convolutional neural networks using stochastic computing. In: 2018 19th international symposium on quality electronic design (ISQED). IEEE, pp. 314–321

  • Makosiej A, Thomas O, Vladimirescu A, Amara A (2012) Stability and yield-oriented ultra-low-power embedded 6T SRAM cell design optimization. In: 2012 Design, automation & test in europe conference & exhibition (DATE). IEEE, pp. 93–98

  • Moradi S, Indiveri G (2013) An event-based neural network architecture with an asynchronous programmable synaptic memory. IEEE Trans Biomed Circuits Syst 8(1):98–107

    Article  Google Scholar 

  • Nakajima K (1998) Dynamic behaviors of an integrated circuit for recurrent neural networks. In: 1998 Second international conference. knowledge-based intelligent electronic systems. Proceedings KES’98 (Cat. No. 98EX111), vol 3. IEEE, pp 260–267

  • Qazi M, Tikekar M, Dolecek L, Shah D, Chandrakasan A (2010) Loop flattening & spherical sampling: highly efficient model reduction techniques for SRAM yield analysis. In: Proceedings of DATE, pp. 801–806

  • Sivakumar V, Malathi M (2014) Programmable synaptic memory with spiking neural network in VLSI. In: International conference on information communication and embedded systems (ICICES2014). IEEE, pp. 1–5

  • Sun X, Liu R, Chen YJ, Chiu HY, Chen WH, Chang MF, Yu S (2017) Low-VDD operation of SRAM synaptic array for implementing ternary neural network. IEEE Trans Very Large Scale Integr (VLSI) Syst 25(10):2962–2965

    Article  Google Scholar 

  • Sun X, Liu R, Peng X, Yu S (2018) Computing-in-memory with SRAM and RRAM for binary neural networks. In: 2018 14th IEEE international conference on solid-state and integrated circuit technology (ICSICT). IEEE, pp. 1–4

  • Valavi H, Ramadge PJ, Nestler E, Verma N (2018) A mixed-signal binarized convolutional-neural-network accelerator integrating dense weight storage and multiplication for reduced data movement. In: 2018 IEEE symposium on VLSI circuits. IEEE, pp. 141–142

  • Wang M, Wen Z, Chen L, Zhang Y (2008) A novel DLL-based configurable frequency synthesizer. In: 2008 International conference on neural networks and signal processing. IEEE, pp. 303–306

  • Watanabe T, Aoki M, Kimura K, Sakata T, Itoh K (1993) The advantages of a DRAM-based digital architecture for low-power, large-scale neuro-chips. IEICE Trans Electron 76(7):1206–1214

    Google Scholar 

  • Zhang J, Verma N (2019) An in-memory-computing DNN achieving 700 TOPS/W and 6 TOPS/mm2 in 130-nm CMOS. IEEE J Emerg Sel Top Circuits Syst 9(2):358–366

    Article  Google Scholar 

  • Zhang B, Arapostathis A, Nassif S, Orshansky M (2006) Analytical modeling of SRAM dynamic stability. In: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design. ACM, pp. 315–322

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. Selvarasu.

Ethics declarations

Conflict of interest

The authors do not have any conflict of interest in publishing this research work.

Additional information

Communicated by V. Loia.

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Selvarasu, S., Saravanan, S. Hybrid on-chip soft computing model for performance evaluation of 6T SRAM cell using 45-nm technology. Soft Comput 24, 10785–10799 (2020). https://doi.org/10.1007/s00500-019-04581-4

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00500-019-04581-4

Keywords

Navigation