Skip to main content
Log in

Network adapter architectures in network on chip: comprehensive literature review

  • Published:
Cluster Computing Aims and scope Submit manuscript

Abstract

Network on Chip (NoC) is a new distributed, scalable, packet switched-based on chip which has been suggested as perfect solution for traditional centralized, non-scalable bus-based systems on chip (SoC) to handle issues like out-of order transactions, higher latencies, and end-to-end flow control. The NoC provides parallel and multi-core processing platform and is constructed from a set of Routers (R), Links (L), Intellectual Property (IP) cores, and Network Adapters (NA). The NA as individual hardware entity makes it possible IP cores with different data width and frequency connected to NoC. In other words, by decoupling computation from communication the NA allows IP Core modules and interconnects to be designed independently from each other. The design of NA impacts directly on NoC based SoCs critical parameters such as power dissipation, latency, throughput, and silicon area. This paper presents the comprehensive review of state-of-the-art architectures and the developments of NA which have been proposed in literature. Moreover, three type of parameters namely design (design goal, building components, Quality of Service (QoS), Core Interface Protocol (CIP), Security consideration, and Design for Test (DfT)), performance (power dissipation, latency, area, and throughput), and evaluation parameters (evaluation platform, clock frequency, technology scale) which have impact on NA architectures are evaluated and highlighted in comparative tables and figures. Furthermore, all the concepts that are considered in the design of NA is classified. Finally, concluding remarks and future research direction are provided.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26

Similar content being viewed by others

References

  1. Chang, K.-C., Shen, J.-S., Chen, T.-F.: Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs. In: Proceedings of the 43rd annual Design Automation Conference, ACM, pp. 143–148 (2006)

  2. Pasricha, S., Dutt, N.: On-chip communication architectures: system on chip interconnect. Morgan Kaufmann, Burlington (2010)

    Google Scholar 

  3. Zeferino, C.A., Kreutz, M.E., Carro, L., Susin, A.A.: A study on communication issues for systems-on-chip. In: Integrated Circuits and Systems Design, 2002. In: Proceedings of the 15th Symposium, IEEE, pp. 121–126 (2002)

  4. Liang, J., Swaminathan, S., Tessier, R.: aSOC: a scalable, single-chip communications architecture. In: Parallel Architectures and Compilation Techniques, 2000. In: Proceedings of the International Conference, IEEE, pp. 37–46 (2000)

  5. Dehyadgari, M., Nickray, M., Afzali-Kusha, A., Navabi, Z.: A new protocol stack model for network on chip. In: Proceeding of the IEEE Computer Society Annual Symposium Emerging VLSI Technologies and Architectures, IEEE, p. 3 (2006)

  6. Agarwal, A., Iskander, C., Shankar, R.: Survey of network on chip (noc) architectures & contributions. J. Eng. Comput. Archit. 3(1), 21–27 (2009)

    Google Scholar 

  7. De Micheli, G., Benini, L.: Networks on chip: a new paradigm for systems on chip design. In: Proceeding of the IEEE Computer Society on Design, Automation & Test in Europe Conference & Exhibition, pp. 0418–0418 (2002)

  8. Tatas, K., Siozios, K., Soudris, D., Jantsch, A.: Designing 2D and 3D network-on-chip architectures. Springer, New York (2014)

    Book  Google Scholar 

  9. Benini, L., De Micheli, G.: Networks on chips: a new SoC paradigm. Computer 35(1), 70–78 (2002)

    Article  Google Scholar 

  10. Grecu, C., Ivanov, A., Saleh, R., De Micheli, G.: Design, synthesis, and test of networks on chips. (2005)

  11. De Micheli, G., Benini, L.: Networks on chips: technology and tools. Academic Press, Cambridge (2006)

    Google Scholar 

  12. Poluri, P., Louri, A.: A Soft Error Tolerant Network-on-Chip Router Pipeline for Multi-core Systems

  13. Kumar, S., Jantsch, A., Soininen, J.-P., Forsell, M., Millberg, M., Oberg, J., Tiensyrja, K., Hemani, A.: A network on chip architecture and design methodology. In: VLSI, 2002. In: Proceedings of the IEEE Computer Society Annual Symposium, IEEE, pp. 105–112 (2002)

  14. Jantsch, A., Tenhunen, H.: Networks on chip, vol. 396. Springer, New York (2003)

    Book  Google Scholar 

  15. Goossens, K., Dielissen, J., van Meerbergen, J., Poplavko, P., Rădulescu, A., Rijpkema, E., Waterlander, E., Wielage, P.: Guaranteeing the quality of services in networks on chip. In: Networks on chip. pp. 61-82. Springer, (2003)

  16. Bjerregaard, T., Mahadevan, S.: A survey of research and practices of network-on-chip. ACM Comput. Surv. (CSUR) 38(1), 1 (2006)

    Article  Google Scholar 

  17. Hemani, A., Jantsch, A., Kumar, S., Postula, A., Oberg, J., Millberg, M., Lindqvist, D.: Network on chip: An architecture for billion transistor era. In: Proceeding of the IEEE NorChip Conference (2000)

  18. Dally, W.J., Towles, B.P.: Principles and practices of interconnection networks. Elsevier, Amsterdam (2004)

    Google Scholar 

  19. Henkel, J., Wolf, W., Chakradhar, S.: On-chip networks: A scalable, communication-centric embedded system design paradigm. In: Proceedings 17th International Conference on VLSI Design, IEEE, pp. 845–851 (2004)

  20. Ramanujam, R.S., Soteriou, V., Lin, B., Peh, L.-S.: Design of a high-throughput distributed shared-buffer NoC router. In: Proceeding of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS), IEEE, pp. 69–78 (2010)

  21. Chan, C.-H., Tsai, K.-L., Lai, F., Tsai, S.-H.: A priority based output arbiter for NoC router. In: Proceedings of the Circuits and Systems (ISCAS) on IEEE International Symposium, IEEE, pp. 1928–1931 (2011)

  22. Saponara, S., Vitullo, F., Petri, E., Fanucci, L., Coppola, M., Locatelli, R.: Coverage-driven verification of HDL IP cores. In: Conti, M. (ed.) Solutions on embedded systems, pp. 105–119. Springer, New York (2011)

    Chapter  Google Scholar 

  23. Sgroi, M., Sheets, M., Mihal, A., Keutzer, K., Malik, S., Rabaey, J., Sangiovanni-Vencentelli, A.: Addressing the system-on-a-chip interconnect woes through communication-based design. In: Proceedings of the 38th annual Design Automation Conference, ACM, pp. 667–672 (2001)

  24. Bertozzi, D.: Network interface architecture and design issues. Networks on Chips: Technology and Tools, The Morgan Kaufmann Series in Systems on Silicon, pp.147–202 (2006)

  25. Zimmermann, H.: OSI reference model–The ISO model of architecture for open systems interconnection. IEEE Trans. Commun. 28(4), 425–432 (1980)

    Article  Google Scholar 

  26. Wang, J., Yang, Z.J.: Design of network adapter compatible OCP for high-throughput NOC. In: Applied Mechanics and Materials, pp. 1341–1346. Trans Tech Publ (2013)

  27. Steenhof, F., Duque, H., Nilsson, B., Goossens, K., Llopis, R.P.: Networks on chips for high-end consumer-electronics TV system architectures. In: Proceedings of the conference on Design, automation and test in Europe: Designers’ forum, European Design and Automation Association, pp. 148–153 (2006)

  28. Angiolini, F., Meloni, P., Carta, S., Benini, L., Raffo, L.: Contrasting a NoC and a traditional interconnect fabric with layout awareness. In: Proceedings of the conference on Design, automation and test in Europe, European Design and Automation Association, pp. 124–129 (2006)

  29. Alliance, O.: Open core protocol specification. In. Release, (2003)

  30. Alliance, V.: Virtual component interface standard. http://www.vsi.org/library/specs/summary.html. (2001)

  31. Guerrier, P., Greiner, A.: A generic architecture for on-chip packet-switched interconnections. In: Proceedings of the conference on Design, automation and test in Europe, ACM, pp. 250–256 (2000)

  32. Ahonen, T., Sigüenza-Tortosa, D.A., Bin, H., Nurmi, J.: Topology optimization for application-specific networks-on-chip. In: Proceedings of the 2004 international workshop on System level interconnect prediction, ACM, pp. 53–60 (2004)

  33. ARM, A.: AXI Protocol Specification, version 1.0 www.arm.com, ARM. In. March, (2004)

  34. Radulescu, A., Dielissen, J., Goossens, K., Rijpkema, E., Wielage, P.: An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration. In: Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, IEEE, pp. 878–883 (2004)

  35. Opencores, S.: Wishbone system-on-chip (soc) interconnection architecture for portable ip cores. http://cdn.opencores.org/downloads/wbspec_b3.pdf (2002)

  36. Tanenbaum, A.S.: Computer networks, 4th edn. Prentice Hall, Upper Saddle River (2003)

    MATH  Google Scholar 

  37. Gangwal, O., Rădulescu, A., Goossens, K., González Pestana, S., Rijpkema, E.: Building predictable systems on chip: An analysis of guaranteed communication in the Æthereal network on chip. Dynamic and Robust Streaming in and between Connected Consumer-Electronic Devices, 1–36 (2005)

  38. Radulescu, A., Dielissen, J., Pestana, S.G., Gangwal, O.P., Rijpkema, E., Wielage, P., Goossens, K.: An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration. Comput.-Aided Des. Integr. Circuits Syst. IEEE Trans. 24(1), 4–17 (2005)

    Article  Google Scholar 

  39. Millberg, M., Nilsson, E., Thid, R., Kumar, S., Jantsch, A.: The Nostrum backbone-a communication protocol stack for networks on chip. In: Proceedings of the VLSI Design on 17th International Conference, IEEE, pp. 693–696 (2004)

  40. Radulescu, A., Goossens, K.: Communication services for networks on chip. Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation, 193–213 (2004)

  41. Radulescu, A., Dielissen, J., Pestana, S.G., Gangwal, O.P., Rijpkema, E., Wielage, P., Goossens, K.: An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(1), 4–17 (2005)

    Article  Google Scholar 

  42. Goossens, K., Dielissen, J., Radulescu, A.: Æthereal network on chip: concepts, architectures, and implementations. Des. Test Comput. IEEE 22(5), 414–421 (2005)

    Article  Google Scholar 

  43. Scherrer, A., Fraboulet, A., Risset, T.: Hardware wrapper classification and requirements for on-chip interconnects. In: Signaux, Circuits et Systèmes 2004, p. 4

  44. Chapiro, D.M.: Globally-Asynchronous Locally-Synchronous Systems. In. Stanford Univ CA Dept of Computer Science (1984)

  45. Dally, W.J., Seitz, C.L.: Deadlock-free message routing in multiprocessor interconnection networks. (1988)

  46. Dally, W.J., Towles, B.: Route packets, not wires: On-chip interconnection networks. In: Proceedings of the Design Automation Conference, IEEE, pp. 684–689 (2001)

  47. Feige, U., Raghavan, P.: Exact analysis of hot-potato routing. In: Proceedings of the Foundations of Computer Science, 33rd Annual Symposium, IEEE, pp. 553–562 (1992)

  48. Fleury, E., Fraigniaud, P.: A general theory for deadlock avoidance in wormhole-routed networks. IEEE Trans. Parallel Distrib. Syst. 9(7), 626–638 (1998)

    Article  Google Scholar 

  49. Ciordas, C., Basten, T., Radulescu, A., Goossens, K., Meerbergen, J.: An event-based network-on-chip monitoring service. In: Proceedings of the High-Level Design Validation and Test Workshop on Ninth IEEE International, pp. 149–154 (2004)

  50. Sepulveda, J., Flórez, D., Immler, V., Gogniat, G., Sigl, G.: Efficient security zones implementation through hierarchical group key management at NoC-based MPSoCs. Microprocess. Microsyst. 50, 164–174 (2017)

    Article  Google Scholar 

  51. Fiorin, L., Silvano, C., Sami, M.: Security aspects in networks-on-chips: Overview and proposals for secure implementations. In: Proceedings of the Digital System Design Architectures, Methods and Tools. DSD 2007 on 10th Euromicro Conference, IEEE, pp. 539–542 (2007)

  52. Fiorin, L., Palermo, G., Lukovic, S., Catalano, V., Silvano, C.: Secure memory accesses on networks-on-chip. Comput. IEEE Trans. 57(9), 1216–1229 (2008)

    Article  MathSciNet  Google Scholar 

  53. Kapoor, H.K., Rao, G.B., Arshi, S., Trivedi, G.: A security framework for noc using authenticated encryption and session keys. Circuits Syst. Sign. Process. 32(6), 2605–2622 (2013)

    Article  MathSciNet  Google Scholar 

  54. Baron, S., Wangham, M.S., Zeferino, C.A.: Security mechanisms to improve the availability of a Network-on-Chip. In: Proceedings of the Electronics, Circuits, and Systems (ICECS) on IEEE 20th International Conference, pp. 609–612 (2013)

  55. Ghofrani, A., Parikh, R., Shamshiri, S., DeOrio, A., Cheng, K.-T., Bertacco, V.: Comprehensive online defect diagnosis in on-chip networks. In: VTS, pp. 44–49 (2012)

  56. Babaei, S., Mansouri, M., Aghaei, B., Khadem-Zadeh, A.: Online-structural testing of routers in network on chip. World Applied Sci. J. 14(9), 1374–1383 (2011)

    Google Scholar 

  57. Alaghi, A., Karimi, N., Sedghi, M., Navabi, Z.: Online NoC switch fault detection and diagnosis using a high level fault model. In: Proceedings of the 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), IEEE, pp. 21–29 (2007)

  58. Alamian, S.S., Fallahzadeh, R., Hessabi, S., Alirezaie, J.: A novel test strategy and fault-tolerant routing algorithm for NoC routers. In: Proceedings of the 17th CSI International Symposium on Computer Architecture & Digital Systems (CADS 2013), IEEE, pp. 133–136 (2013)

  59. Cota, É., de Morais Amory, A., Lubaszewski, M.S.: Test and diagnosis of routers. In: Cota, É. (ed.) Reliability. Availability and serviceability of networks-on-chip, pp. 115–132. Springer, New York (2012)

    MATH  Google Scholar 

  60. Hosseinabady, M., Dalirsani, A., Navabi, Z.: Using the inter-and intra-switch regularity in NoC switch testing. In: Proceedings of the conference on Design, automation and test in Europe, pp. 361–366. EDA Consortium (2007)

  61. Nazari, M., Zolfy Lighvan, M., Daie Koozekonani, Z., Sadeghi, A.: a novel HW/SW based NoC router self-testing methodology. arXiv:1609.04569 (2016)

  62. Nazarian, G.: On-line testing of routers in networks-on-chip. Delft University of Technology, Delft (2008)

    Google Scholar 

  63. Aghaei, B., Khademzadeh, A., Reshadi, M., Badie, K.: Link testing: a survey of current trends in network on chip. J. Electron. Test. 33, 209–225 (2017)

    Article  Google Scholar 

  64. Aghaei, B., Badie, K., Khademzadeh, A., Reshadi, M.: The cost-effective fault detection and fault location approach for communication channels in NoC. J. Supercomput. 73, 5034–5052 (2017)

    Article  Google Scholar 

  65. Aghaei, B., Khademzadeh, A., Reshadi, M., Badie, K.: A new BIST-based test approach with the fault location capability for communication channels in network-on-chip. J. Electron. Test. 33, 501–513 (2017)

    Article  Google Scholar 

  66. Aghaei, B.: A high fault coverage test approach for communication channels in network on chip. Microelectron. Reliab. 75, 178–186 (2017)

    Article  Google Scholar 

  67. Aghaei, B., Babaei, S.: The new test wrapper design for core testing in Packet-Switched Micro-Network on Chip. In: Proceedings of the 2nd International Conference on Power Electronics and Intelligent Transportation System (PEITS), pp. 19–20 (2009)

  68. Amory, A.M., Goossens, K., Marinissen, E.J., Lubaszewski, M., Moraes, F.: Wrapper design for the reuse of a bus, network-on-chip, or other functional interconnect as test access mechanism. Comput Digit Tech IET 1(3), 197–206 (2007)

    Article  Google Scholar 

  69. Cota, É., Carro, L., Lubaszewski, M.: Reusing an on-chip network for the test of core-based systems. ACM Trans Des Autom Electron Syst (TODAES) 9(4), 471–499 (2004)

    Article  Google Scholar 

  70. Xiang, D., Zhang, Y.: Cost-effective power-aware core testing in NoCs based on a new unicast-based multicast scheme. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(1), 135–147 (2011)

    Article  Google Scholar 

  71. Fiorin, L., Sami, M.: Fault-Tolerant Network Interfaces for Networks-on-Chip. Depend Secure Comput. IEEE Trans. 11(1), 16–29 (2014)

    Article  Google Scholar 

  72. Thonnart, Y., Beigné, E., Vivet, P.: Design and implementation of a GALS adapter for ANoC based architectures. In: Proceedings of the Asynchronous Circuits and Systems on ASYNC’09 15th IEEE Symposium, IEEE, pp. 13–22 (2009)

  73. Matos, D., Carro, L., Susin, A.: Associating packets of heterogeneous cores using a synchronizer wrapper for NoCs. In: Proceedings of the Circuits and Systems (ISCAS) on IEEE International Symposium, IEEE, pp. 4177–4180 (2010)

  74. Fattah, M., Daneshtalab, M., Liljeberg, P., Plosila, J.: Transport layer aware design of network interface in many-core systems. In: Proceedings of the Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) on 7th International Workshop, IEEE, pp. 1–7 (2012)

  75. Lee, S.E., Bahn, J.H., Yang, Y.S., Bagherzadeh, N.: A generic network interface architecture for a networked processor array (NePA). In: Proceedings of the International Conference on Architecture of Computing Systems, pp. 247–260. Springer (2008)

  76. Sparsø, J., Kasapaki, E., Schoeberl, M.: An area-efficient network interface for a TDM-based network-on-chip. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1044–1047. EDA Consortium (2013)

  77. Ruaro, M., Lazzarotto, F.B., Marcon, C.A., Moraes, F.G.: DMNI: A specialized network interface for NoC-based MPSoCs. In: Proceedings of the Circuits and Systems (ISCAS) on IEEE International Symposium, IEEE, pp. 1202–1205 (2016)

  78. Bjerregaard, T., Mahadevan, S., Olsen, R.G., Sparso, J.: An OCP compliant network adapter for GALS-based SoC design using the MANGO network-on-chip. In: Proceedings of the System-on-Chip on International Symposium, IEEE, pp. 171–174 (2005)

  79. Bjerregaard, T., Sparso, J.: A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip. In: Proceedings of the Design, Automation and Test in Europe, IEEE, pp. 1226–1231 (2005)

  80. Fiorin, L., Palermo, G., Silvano, C.: A security monitoring service for NoCs. In: Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software codesign and system synthesis, ACM, pp. 197–202 (2008)

  81. Xia, B., Wu, K., Xiang, C., Yang, M., Liu, P., Yao, Q.: Network interface design based on mutual interface definition. Int. J. High Perform. Syst. Archit. 2(3–4), 168–176 (2010)

    Article  Google Scholar 

  82. Attia, B., Wissem, C., Noureddine, A., Zitouni, A., Torki, K., Tourki, R.: A new pipelined network interface for Network on Chip with latency and jitter optimization. In: Proceedings of the Microelectronics (ICM) on International Conference, IEEE, pp. 1–6 (2011)

  83. Chouchene, W., Attia, B., Zitouni, A., Abid, N., Tourki, R.: A low power network interface for network on chip. In: Proceedings of the Systems, Signals and Devices (SSD) on 8th International Multi-Conference, IEEE, pp. 1–6 (2011)

  84. Swaminathan, K., Lakshminarayanan, G., Ko, S.-B.: Design and verification of an efficient WISHBONE-based network interface for network on chip. Comput. Electr. Eng. 40(6), 1838–1857 (2014)

    Article  Google Scholar 

  85. Bhojwani, P., Mahapatra, R.: Interfacing cores with on-chip packet-switched networks. In: Proceedings of the VLSI Design on 16th International Conference, IEEE, pp. 382–387 (2003)

  86. Lai, Y.-L., Yang, S.-W., Sheu, M.-H., Hwang, Y.-T., Tang, H.-Y., Huang, P.-Z.: A high-speed network interface design for packet-based NoC. In: Proceedings of the Communications, Circuits and Systems on International Conference, IEEE, pp. 2667–2671 (2006)

  87. Yang, X., Qing-li, Z., Fang-fa, F., Ming-yan, Y., Cheng, L.: NISAR: An AXI compliant on-chip NI architecture offering transaction reordering processing. In: Proceedings of the ASIC. ASICON’07. 7th International Conference, IEEE, pp. 890–893 (2007)

  88. Ebrahimi, M., Daneshtalab, M., Liljeberg, P., Plosila, J., Tenhunen, H.: A high-performance network interface architecture for NoCs using reorder buffer sharing. In: Proceedings of the Parallel, Distributed and Network-Based Processing (PDP), 2010 18th Euromicro International Conference, IEEE, pp. 546–550 (2010)

  89. Daneshtalab, M., Ebrahimi, M., Plosila, J., Tenhunen, H.: CARS: Congestion-aware request scheduler for network interfaces in NoC-based manycore systems. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 1048–1051. EDA Consortium (2013)

  90. Tran, X.-T., Nguyen, T., Phan, H.-P., Bui, D.-H.: AXI-NoC: High-Performance Adaptation Unit for ARM Processors in Network-on-Chip Architectures. IEICE Trans. Fund. Electron. Commun. Comput. Sci. 100(8), 1650–1660 (2017)

    Article  Google Scholar 

  91. Hu, J., Marculescu, R.: Energy-aware mapping for tile-based NoC architectures under performance constraints. In: Proceedings of the 2003 Asia and South Pacific Design Automation Conference, ACM, pp. 233–239 (2003)

  92. Vangal, S.R., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Singh, A., Jacob, T., Jain, S.: An 80-tile sub-100-w teraflops processor in 65-nm cmos. IEEE J. Solid-State Circuits 43(1), 29–41 (2008)

    Article  Google Scholar 

  93. Bhojwani, P., Mahapatra, R.N.: Core network interface architecture and latency constrained on-chip communication. In: Proceeding of the Quality Electronic Design on ISQED’06. 7th International Symposium, IEEE, pp. 6–363 (2006)

  94. Ost, L., Mello, A., Palma, J., Moraes, F., Calazans, N.: MAIA: a framework for networks on chip generation and verification. In: Proceedings of the 2005 Asia and South Pacific Design Automation Conference, ACM, pp. 49–52 (2005)

  95. Fiorin, L., Palermo, G., Lukovic, S., Silvano, C.: A data protection unit for NoC-based architectures. In: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis, ACM, pp. 167–172 (2007)

  96. Pande, P.P., Grecu, C., Ivanov, A., Saleh, R., De Micheli, G.: Design, synthesis, and test of networks on chips. IEEE Des. Test Comput. 22(5), 404–413 (2005)

    Article  Google Scholar 

  97. Furber, S., Bainbridge, J.: Future trends in SoC interconnect. In: Proceedings of the System-on-Chip, International Symposium, IEEE, pp. 183–186 (2005)

  98. Tatas, K., Siozios, K., Soudris, D., Jantsch, A.: NoC Verification and Testing. In: Jantsh, A. (ed.) Designing 2D and 3D network-on-chip architectures, pp. 147–159. Springer, New York (2014)

    Chapter  Google Scholar 

  99. Grammatikakis, M.D., Papadimitriou, K., Petrakis, P., Papagrigoriou, A., Kornaros, G., Christoforakis, I., Tomoutzoglou, O., Tsamis, G., Coppola, M.: Security in MPSoCs: a NoC firewall and an evaluation framework. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(8), 1344–1357 (2015)

    Article  Google Scholar 

  100. Compiler, S.D.: Synopsys Corporation. In. (1999)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Babak Aghaei.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Aghaei, B., Reshadi, M., Masdari, M. et al. Network adapter architectures in network on chip: comprehensive literature review. Cluster Comput 23, 321–346 (2020). https://doi.org/10.1007/s10586-019-02924-2

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10586-019-02924-2

Keywords

Navigation