Skip to main content

Advertisement

Log in

Optimizing Leakage Energy Consumption in Cache Bitlines

  • Published:
Design Automation for Embedded Systems Aims and scope Submit manuscript

Abstract

As technology scales down into deep-submicron, leakage energy is becoming a dominant source of energy consumption. Leakage energy is generally proportional to the area of a circuit and caches constitute a large portion of the die area. Therefore, there has been much effort to reduce leakage energy in caches. Most techniques have been targeted at cell leakage energy optimization. Bitline leakage energy is critical as well. To this end, we propose a predictive precharging scheme to reduce bitline leakage energy consumption. Results show that energy savings are significant with little performance degradation. Also, our predictive precharging is more beneficial in more aggressively scaled technologies.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Agawa, K., H. Hara, T. Takayanagi, and T. Kuroda. A Bitline Leakage Compensation Scheme for Low-Voltage SRAMS. IEEE Journal of Solid-State Circuits, May 2001.

  2. Baron, M. Analog and CPU Wizards Reduce Digital Power: National Semiconductor and ARM Increase Battery Life. Microprocessor Report, vol. 17, Archieve 1, pp. 10–14, 2003.

  3. Borkar, S. Design Challenges of Technology Scaling.IEEE Micro, vol. 19, no. 4, 1999.

  4. Chandrakasan, A., W. J. Bowhill, and F. Fox. Design of High-Performance Microprocessor Circuits. IEEE Press, 2001.

  5. Chen, G., M. Kandemir, N. Vijaykrishnan, and M. J. Irwin. PennBench: A Benchmark Suite for Embedded Java. In Proc. the 5th Annual IEEE Workshop on Workload Characterization, Nov. 2002.

  6. Cho, S., P. Yew, and G. Lee. Access Region Locality for High-Bandwidth Processor Memory System Design. In Proc. International Symposium on Microarchitecture, Nov. 1999.

  7. Cmelik, B. and D. Keppel. Shade: A Fast Instruction-Set Simulator for Execution Profiling. In Proc. ACM SIGMETRICS Conference on the Measurement and Modeling of Computer Systems, May 1994.

  8. Flautner, K., N. S. Kim, S. Martin, D. Blaauw, and T. Mudge. Drowsy Caches: Simple Techniques for Reducing Leakage Power. In Proc. International Symposium on Computer Architecture, July 2002.

  9. Hamzaoglu, F. et al. Dual-Vt SRAM Cells with Full-Swing Single-Ended BitLine Sensing for High-oerformance On-Chip Cache in 0.13 μm Technology Generation. In Proc. International Symposium on Low Power Electronics and Design, 2000.

  10. Heo, S., K. Barr, M. Hampton, and K. Asanovic. Dynamic Fine-Grain Leakage Reduction UsingLeakage-Biased Bitlines. In Proc. International Symposium on Computer Architecture, May 2002.

  11. Inoue, K., T. Ishihara, and K. Murakami. Way-Predicting Set-Associative Cache for High Performance and Low Energy Consumption. In Proc. International Symposium on Low Power Electronics and Design, 1999.

  12. Kaxiras, S., Z. Hu, and M. Martonosi. Cache Decay: Exploiting Generational Behavior to Reduce Leakage Power. In Proc. International Symposium on Computer Architecture, July 2001.

  13. Kim, N. S., K. Flautner, D. Blaauw, and T. Mudge. Drowsy Instruction Caches: Leakage Power Reduction Using Dynamic Voltage Scaling and Cache Sub-Bank Prediction.In Proc. International Symposium on Microarchitecture,2002.

  14. Kim, S., N. Vijaykrishnan, M. Kandemir, and M. J. Irwin. Predictive Precharging for Bitline Leakage Energy Reduction. In Proc. 15th Annual IEEE International ASIC/SOC Conference, Sept. 2002.

  15. Kim, S., N. Vijaykrishnan, M. Kandemir, A. Sivasubramaniam, and M. J. Irwin. Partitioned Instruction Cache Architecture for Energy Efficiency. ACM Transactions on Embedded Computing Systems, vol. 2, no. 2, 2003.

  16. Lee, C., M. Potkonjak, and W. H. Mangione-Smith. Mediabench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems. In Proc. International Symposium on Microarchitecture, 1997.

  17. Reinman, G. and N. Jouppi. An Integrated Cache Timing and Power Model. Technical Report, Compaq Western Research Lab, 1999.

  18. Riggs, R., A. Taivalsaari, and M. Vandenbrink. Programming Wireless Devices with the Java 2 Platform. Addison Wesley, 2001.

  19. Santhanam, S., A. J. Baum, et al. A Low-Cost, 300-MHz, RISC CPU with Attached Media Processor. IEEE Journal of Solid-State Circuits, Nov. 1998.

  20. Yang, S.-H., M. D. Powel, B. Falsafi, K. Roy, and T. N. Vijaykumar. An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance i-Caches. In Proc. International Symposium onHigh Performance Computer Architecture, Jan. 2001.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Soontae Kim.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Kim, S., Vijaykrishnan, N., Kandemir, M. et al. Optimizing Leakage Energy Consumption in Cache Bitlines. Des Autom Embed Syst 9, 5–18 (2004). https://doi.org/10.1007/s10617-005-5345-4

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10617-005-5345-4

Keywords

Navigation