Skip to main content
Log in

Analytical and Simulation-based Design Space Exploration of Software Defined Radios

  • Published:
International Journal of Parallel Programming Aims and scope Submit manuscript

Abstract

The proposed idea of software defined radios (SDRs) offers the potential to cope with the complexity and flexibility demands of future wireless communication devices. Unfortunately, the tight interaction of software and hardware as well as the high computational requirements make development of SDRs to one of the most challenging tasks system architects are facing today. The main challenge is to select the optimal or a sub-optimal solution within the large design space spread by the many design options. This paper introduces a novel design space exploration framework for particular early development stages. The key contribution is a pre-simulation based mathematical analysis based on synchronous data flow (SDF) graphs in order to take the right software and hardware design decisions. This analysis can be utilized right from the start of the design cycle with only limited knowledge of the final implementation. In addition, the proposed technique seamlessly integrates into an electronic system level (ESL) based simulation framework. This allows for a smooth transition from pure mathematical analysis to the simulation of the final implementation. The practical usage of the framework and its capabilities are highlighted by a case study from a typical SDR design.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Speth, M., Dawid, H., Gersemsky, F.: Design & verification challenges for 3G/3.5G/4G wireless baseband MPSoCs. In: MPSoC’08, June (2008)

  2. Kempf, T., Doerper, M., Leupers, R., Ascheid, G., Meyr, H., Kogel, T., Vanthournout, B.: A modular simulation framework for spatial and temporal task mapping onto multi-processor SoC platforms. In Proceedings of the Design, Automation and Test in Europe (DATE’05) (2005)

  3. Gries, M.: Methods for evaluating and covering the design space during early design development. Integration VLSI J. 38(2) (2004)

  4. Open SystemC Initiative. http://www.systemc.org

  5. Wieferink, A., Meyr, H., Leupers, R.: Retargetable Processor System Integration into Multi-Processor System-on-Chip Platforms. Springer, NY (2008)

  6. Open System C Initiative. Transaction Level Modeling. http://www.systemc.org

  7. CoWare Inc. http://www.coware.com

  8. Synopsys Inc. http://www.synopsys.com

  9. Kempf, T., Witte, E.M., Ramakrishnan, V., Ascheid, G., Adrat, M., Antweiler, M.: SDR baseband processing portability: a case study. In: SDR’08, Washington, DC, USA, October (2008)

  10. Schirner G., Gerstlauer, A., Domer, R.: Abstract, multifaceted modeling of embedded processors for system level design. In Proceedings of the Asia and South Pacific Design Automation Conference ASP-DAC ’07, pp. 384–389 (2007)

  11. Bouchhima, A., Bacivarov, I., Youssef, W., Bonaciu, M., Jerraya, A.A.: Using abstract CPU subsystem simulation model for high level HW/SW architecture exploration. In Proceedings of the Asia and South Pacific Design Automation Conference the ASP-DAC 2005, pp. 969–972 (2005)

  12. Gerstlauer, A., Yu, H., Gajski, D.D.: RTOS modeling for system level design. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, pp. 130–135 (2003)

  13. Reyes, V., Kruijtzer, W., Bautista, T., Alkadi, G., Nunez, A.: A unified system-level modeling and simulation environment for MPSoC design: MPEG-4 Decoder case study. In Proceedings Design, Automation and Test in Europe DATE ’06, Vol. 1, pp. 1–6 (2006)

  14. Aldis, J.: Use of SystemC modelling in creation and use of an SOC platform: experiences learnt from OMAP-2. In: Burton, M., Morawiec, A., (eds.) Platform Based Design at the Electronic System Level, Chap. 3, pp. 31–47. Springer, NY (2006)

  15. Nikolov, H., Thompson, M., Stefanov, T., Pimentel, A., Polstra, S., Bose, R., Zissulescu, C., Deprettere, E.: Daedalus: toward composable multimedia mp-soc design. In DAC ’08: Proceedings of the 45th Annual Conference on Design Automation, pp. 574–579, New York, NY, USA, ACM (2008)

  16. Piergentili, D., Coupe, D.: ESL methods for optimizing a multi-media phone chip. EDA DesignLine, May (2008)

  17. Lazowska, E.D., Zahorjan, J., Scott, G.G., Sevcik, K.C.: Quantitative System Performance, Computer System Analysis Using Queuing Network Models: Computer Analysis Using Queuing Network Models. Prentice Hall, February (1984)

  18. Baccelli, F., Cohen, G., Olsder, G.J., Quadrat, J.-P.: Synchronization and Linearity: An Algebra for Discrete Event Systems. 2nd ed. Wiley, NY, October (2001)

  19. Richter K., Jersak M., Ernst R.: A formal approach to MpSoC performance verification. Computer 36(4), 60–67 (2003)

    Article  Google Scholar 

  20. Wandeler E., Thiele L., Verhoef M., Lieverse P.: System architecture evaluation using modular performance analysis: a case study. Int. J. Softw. Tools Technol. Transf. (STTT) 8(6), 649–667 (2006)

    Article  Google Scholar 

  21. Ienne, P.: Analytical models of communication for MPSoCs. In: MPSoC’08, June (2008)

  22. Uhlig R.A., Mudge T.N.: Trace-driven memory simulation: a survey. ACM Comput. Surv. 29(2), 128–170 (1997)

    Article  Google Scholar 

  23. Fornaciari, W., Sciuto, D., Silvano, C., Zaccaria, V.: A design framework to efficiently explore energy-delay tradeoffs. In Proceedings Ninth International Symposium on Hardware/Software Codesign CODES’01 (2001)

  24. Givargis, T.D., Henkel, J., Vahid, F.: Interface and cache power exploration for core-based embedded system design. In IEEE/ACM International Conference on Proceedings Digest of Technical Papers Computer-Aided Design 1999, pp. 270–273 (1999)

  25. Lahiri, K., Lahiri, K., Raghunathan, A., Dey, S.: Performance analysis of systems with multi-channel communication architectures. In Proceedings of the Thirteenth International Conference on VLSI Design (2000)

  26. Wolf T., Franklin M.A.: Performance models for network processor design. 17(6):548–561 (2006)

    Google Scholar 

  27. Lee E.A., Messerschmitt D.G.: Synchronous data flow. Proc. IEEE 75(9), 1235–1245 (1987)

    Article  Google Scholar 

  28. The MathWorks Inc. MATLAB. http://www.mathworks.com/

  29. Siek, J.G., Lee, L.-Q., Lumsdaine, A.: The Boost Graph Library User Guide and Reference Manual (With CD-ROM). Addison-Wesley Professional (2001)

  30. United States Department of Defense. Interface Standard MIL-STD-188-110B, Draft, March (2000)

  31. Texas Instruments Inc.: TMS320C55x DSP CPU Reference Guide (Rev. F). User Guide, February (2004)

  32. Texas Instruments Inc.: TMS320C64x/C64x+DSP CPU and Instruction Set Reference Guide (Rev. H). User Guide, October (2008)

  33. Tensilica Inc.: Diamond Standard Processor Core Family Architecture. White Paper, July (2007)

  34. Extensible Markup Language (XML). http://www.w3.org/xml/

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to T. Kempf.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Kempf, T., Wallentowitz, S., Ascheid, G. et al. Analytical and Simulation-based Design Space Exploration of Software Defined Radios. Int J Parallel Prog 38, 303–321 (2010). https://doi.org/10.1007/s10766-009-0127-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10766-009-0127-4

Keywords

Navigation