Skip to main content
Log in

IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

A novel oscillation ring (OR) test scheme and architecture for testing interconnects in SOC is proposed and demonstrated. In addition to stuck-at and open faults, this scheme can also detect delay faults and crosstalk glitches, which are otherwise very difficult to be tested under the traditional test schemes. IEEE Std. 1500 wrapper cells are modified to accommodate the test scheme. An efficient algorithm is proposed to construct ORs for SOC based on a graph model. Experimental results on MCNC benchmark circuits have been included to show the effectiveness of the algorithm. In all experiments, the scheme achieves 100% fault coverage with a small number of tests.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Semiconductor Industry Association (SIA), International Technology Roadmap for Semiconductors (ITRS), 2001.

  2. W. Chen, S.K. Gupta, and M.A. Breuer, “Analytic Model for Crosstalk Delay and Pulse Analysis under Non-Ideal Inputs,” Proc. Int’l Test Conf., 1997, pp. 809–818.

  3. S. Irajpour, S. Nazarian, L. Wang, S.K. Gupta, and M.A. Breuer, “Analyzing Crosstalk in the Presence of Weak Bridge Defects,” Proc. VLSI Test Symp., 2003, pp. 385–392.

  4. K.-T. Cheng, S. Dey, M. Rodgers, and K. Roy, “Test Challenges for Deep Sub-Micron Technologies,” Proc. Design Automation Conf., 2000, pp. 142–149.

  5. K.T. Lee, C. Nordquist, and J.A. Abraham, “Automatic Test Pattern Generation for Crosstalk in Digital Circuits,” Proc. VLSI Test Symp., 1998, pp. 34–39.

  6. P.B. Sabet and F. Ilponse, “A Model for Crosstalk Noise Evaluation in Deep Submicron Processes,” Proc. Int’l Symp. on Quality Electronic Design, 2001, pp. 139–144.

  7. J. Cong, T. Kong, and Z.D. Pan, “Buffer Block Planning for Interconnect-Driven Floorplanning,” Proc. Int’l Conf. Computer-aided Design, 1999, pp. 358–363.

  8. J. Cong, D.Z. Pan, and P.V. Srinivas, “Improved Crosstalk Modeling for Noise Constrained interconnect Optimization,” Proc. Asia South Pacific Design Automation Conf., 2001, pp. 373–378.

  9. K.S.-M. Li, Y.-H. Cherng, and Y.-W. Chang, “Noise-Aware Buffer Planning for Interconnect-Driven Floorplanning,” Proc. Asia South Pacific Design Automation Conf., Jan. 2003, pp. 423–426.

  10. J.A. Sainz, M. Roca, R. Munoz, J.A. Maiz, and L.A. Aguado, “A Crosstalk Sensor Implementation for Measuring Interferences in Digital CMOS VLSI Circuits,” Proc. On-Line Testing Workshop, 2000, pp. 45–51.

  11. F. Caignet, S.D.-B. Dhia, and E. Sicard, “On the Measurement of Crosstalk in Integrated Circuits,” IEEE Trans. VLSI Systems, vol. 8, no. 5, pp. 606–609, Oct. 2000.

    Article  Google Scholar 

  12. S. Bose, P. Agrawal, and V.D. Agrawal, “A Rated-clock Test Method for Path Delay Faults,” IEEE Trans. on VLSI Systems, vol. 6, no. 2, pp. 323–331, 1998.

    Article  Google Scholar 

  13. K.-T. Cheng and H.-C. Chen, “Classification and Identification of Nonrobust Untestable Path Delay Faults,” IEEE Trans. on Computer-aided Design of Integrated Circuits and Systems, vol. 15, no. 8, pp. 845–853, 1996.

    Article  Google Scholar 

  14. H.B. Bakoglu, Circuit, Interconnections, and Packaging for VLSI, Reading, MA: Addison-Wesley, 1990.

    Google Scholar 

  15. W.K. Kautz, “Testing of Faults in Wiring Interconnects,” IEEE Trans. Computers, vol. C-23, no. 4, pp. 358–363, Apr. 1974.

    Article  Google Scholar 

  16. P. Goel and M.T. McMahon, “Electronic Chip in Place Test,” Proc. Int’l Test Conf., 1982, pp. 83–90.

  17. P.T. Wagner, “Interconnect Testing with Boundary Scan,” Proc. Int’l Test Conf., 1987, pp. 52–57.

  18. C.W. Yau and N. Jarwala, “A Unified Theory for Designing Optimal Test Generation and Diagnosis Algorithms for Board Interconnects,” Proc. Int’l Test Conf., 1989, pp. 71–77.

  19. F. DaSilva, Y. Zorian, L. Whetsel, K. Arabi, and R. Kapur, “Overview of the IEEE P1500 Standard,” Proc. Int’l Test Conf., 2003, pp. 988–997.

  20. E.J. Marinissen, R. Kapur, M. Lousberg, T. McLaurin, M. Ricchetti, and Y. Zorian, “On IEEE P1500 Standard for Embedded Core Test,” Journal of Electronic Testing (JETTA), vol. 18, no. 4–5, pp. 365–383, Aug. 2002.

    Article  Google Scholar 

  21. M. Kaneko and K. Sakaguchi, “Oscillation Fault Diagnosis for Analog Circuits Based on Boundary Search with Perturbation Model,” Proc. Int’l Symp. Circuits and Systems, 1994, pp. 93–96.

  22. K. Arabi and B. Kaminska, “Oscillation-Based Test Strategy for Analog and Mixed-Signal Integrated Circuits,” Proc. VLSI Test Symp., 1996, pp. 476–482.

  23. Z.M. Santo, F. Novak, and S. Macek, “Design of Oscillation-Based Test Structures of Active RC Filters,” IEE Proc. Circ. Devices Syst., vol. 147, no. 5, pp. 295–302, 2000.

    Google Scholar 

  24. G. Huertas, D. Vazquez, E.J. Peralias, A. Rueda, and J.L. Huertas, “Practical Oscillation-Based Test of Integrated Filters,” IEEE Design & Test Computers, vol. 19, no. 6, pp. 64–72, 2002.

    Article  Google Scholar 

  25. W.C. Wu, C.L. Lee, M.S. Wu, J.E. Chen, and M. Abadir, “Oscillation Ring Delay Test for High Performance Microprocessor,” J. Electron. Test. (JETTA), vol. 16, no. 1–2, pp. 147–155, 2000.

    Article  Google Scholar 

  26. M.-S. Wu, C.L. Lee, C.P. Chang, and J.E. Chen, “A Testing Scheme for Crosstalk Faults Based on the Oscillation Test Signal,” Proc. Asian Test Symp., 2002, pp. 170–175.

  27. C. Su and W. Tseng, “Configuration Free SoC Interconnect BIST Methodology,” Proc. Int’l Test Conf., Nov. 2001, pp. 1033–1038.

  28. C. Su, Y.-T. Chen, M.-J. Huang, G.-N. Chen, and C.-L. Lee, “All Digital Built-In Delay and Crosstalk Measurement for On-Chip Buses,” Proc. Design, Automation, and Test in Europe, Mar. 2000, pp. 527–531.

  29. K.S.-M. Li, C.-L. Lee, C. Su, and J.E. Chen, “A Unified Detection Scheme and its Fault Effects of Interconnection Bus Crosstalk Faults in Deep Submicron,” Proc. Asia Test Symp., Nov. 2004, pp. 145–150.

  30. K.S.-M. Li, C. Su, Y.-W. Chang, C.-L. Lee, and J.E. Chen, “IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, (in press).

  31. N.H.E. Weste and K. Eshraghian, Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed. Reading, MA: Addison-Wesley, 1992.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Katherine Shu-Min Li.

Additional information

Editor: M. Breuer

Rights and permissions

Reprints and permissions

About this article

Cite this article

Li, K.SM., Lee, CL., Su, C. et al. IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection. J Electron Test 23, 341–355 (2007). https://doi.org/10.1007/s10836-007-0759-5

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-0759-5

Keywords

Navigation