Skip to main content
Log in

Performance-Optimized Design for Parametric Reliability

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Process variations have a significant impact on behavior of integrated circuits (ICs) designed in deep sub-micron (DSM) technologies, and it has been estimated that in some cases up to a generation of performance can be lost due to process variations (Bowman et al., IEEE J Solid State Circuits 37:183–190, 2002), making it a significant problem for design and manufacture of DSM ICs. Adaptive design techniques are fast evolving as a potential solution to this problem. Such techniques facilitate reconfiguration of an IC to enable its operation across process corners, thus ensuring parametric reliability in such ICs, and also improving manufacturing yield. In this paper, adaptive design techniques with a focus on timing of ICs, i.e., performance-optimized adaptive design, are explored. The focus of such performance-optimized adaptive design techniques is to ensure that adaptation does not cause an IC to violate timing specifications, thus giving priority to performance, which remains one of the most important parameters of an IC.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Aitken R (2004) Redundancy—it’s not just for defects anymore. In: International workshop on memory technology design and testing. IEEE, 117–120 August 2004

  2. Borkar S, Karnik T, Narendra S, Tschanz J, Keshavarzi A, De V (2003) Parameter variations and impact on circuits and microarchitecture. In: Design automation conference, IEEE, 338–342 June 2003

  3. Bose P (2005) Variation-tolerant design. IEEE Micro 25(2):5–5

    Article  Google Scholar 

  4. Bowman KA, Duvall SG, Meindl JD (2002) Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration. IEEE J Solid-State Circuits 37(2):183–190

    Article  Google Scholar 

  5. Cao Y, Sato T, Orshansky M, Sylvester D, Hu C (2000) New paradigm of predictive MOSFET and interconnect modelling for early circuit simulation. In: Custom integrated circuits conference, IEEE, 201–204 June 2000

  6. Das S, Roberts D, Lee S, Pant S, Blaauw D, Austin T, Flautner K, Mudge T (2006) A self-tuning DVS processor using delay-error detection and correction. IEEE J Solid-State Circuits 41(4):792–804

    Article  Google Scholar 

  7. Datta R, Carpenter G, Nowka K, Abraham JA (2006) A scheme for on-chip timing characterization. In: VLSI test symposium, IEEE, 24–29 May 2006

  8. Datta R, Sebastine A, Raghunathan A, Abraham JA (2004) On-chip delay measurement for silicon debug. In: Great Lakes Symposium on VLSI, ACM, 145–148 April 2004

  9. Declerck G (2005) A look into the future of nanoelectronics. In: Symposium on VLSI circuits, IEEE, 6–10 June 2005

  10. Dhar S, Maksimovic D (2001) Switching regulator with dynamically adjustable supply voltage for low power VLSI. In: Annual conference of the IEEE industrial electronics society, IEEE, 1874–1879 November–December 2001

  11. Dhar S, Maksimovic D, Kranzen B (2002) Closed-loop adaptive voltage scaling controller for standard-cell ASICs. In: International symposium on low power electronic design, IEEE, 103–107 August 2002

  12. Dudek P, Szczepanski S, Hatfield JV (2000) A high-resolution CMOS time-to-digital converter utilizing a vernier delay line. IEEE Trans Solid-State Circuits 35(2):240–247

    Article  Google Scholar 

  13. Duvall SG (2000) Statistical circuit modeling and optmization. In: International workshop on statistical metrology, IEEE, 56–63 June 2000

  14. Genat J-F (1992) High resolution time-to-digital converter. Nucl Instrum Methods A-315:411–414

    Google Scholar 

  15. Kim CH, Roy K, Hsu S, Alvandpour A, Krishnamurthy RK, Borkar S (2003) A process variation compensating technique for sub-90 nm dynamic circuits. In: Symposium on VLSI circuits, IEEE, 205–206 June 2003

  16. Kothandaraman C, Iyer SK, Iyer SS (2002) Electrically programmable fuse (eFUSE) using electromigration in silicides. IEEE Electron Device Lett 23(9):523–525

    Article  Google Scholar 

  17. Kumar SV, Kim CH, Sapatnekar SS (2006) Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems. In: Asia and south pacific conference on design automation, IEEE, 559–564 January 2006

  18. Miyazaki M, Ono G, Ishibashi K (2002) A 1.2-GIPS/W microprocessor using speed-adaptive threshold-voltage CMOS with forward bias. IEEE J Solid-State Circuits 37(2):210–217

    Article  Google Scholar 

  19. Mutoh S, Douseki T, Matsuya Y, Aoki T, Shigematsu S, Yamada J (1995) 1-V power supply high-speed digital ciruit technology with multithreshold-voltage CMOS. IEEE J Solid-State Circuits 30(8):847–853

    Article  Google Scholar 

  20. Nassif SR (2000) Modeling and forecasting of manufacturing variations. In: International workshop on statistical metrology, IEEE, 2–10 June 2000

  21. Shepard KL, Narayanan V (1996) Noise in deep submicron digital design. In: International conference on computer-aided design, IEEE, 524–531 November 1996

  22. Stojanovic V, Oklobdzija V (1999) Comparative analysis of master-slave latches and flip-flops for high-performance and low power systems. IEEE J Solid-State Circuits 34(4):536–548

    Article  Google Scholar 

  23. Synopsis Inc. (2000) Primetime Reference - Version 2000.11 (November)

  24. Tam S, Limaye RD, Desai UN (2004) Clock generation and distribution for the 130-nm itanium 2 processor with 6-MB on-die L3 cache. IEEE J Solid-State Circuits 39(4):636–642

    Article  Google Scholar 

Download references

Acknowledgment

The authors would like to thank Antony Sebastine and Whitney J. Townsend for design of the multipliers.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ramyanshu Datta.

Additional information

Responsible Editor: N. A. Touba

This work was supported in part by the IBM Faculty Partnership Award Program, and in part by the Gigascale Systems Research Center at UC Berkeley under contract 2003-DT-660 from Microelectronics Advanced Research Corporation(MARCO).

Rights and permissions

Reprints and permissions

About this article

Cite this article

Datta, R., Abraham, J.A., Utku Diril, A. et al. Performance-Optimized Design for Parametric Reliability. J Electron Test 24, 129–141 (2008). https://doi.org/10.1007/s10836-007-5001-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5001-y

Keywords

Navigation