Skip to main content
Log in

Controllability of Static CMOS Circuits for Timing Characterization

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Timing violations, also known as delay faults, are a major source of defective silicon in modern Integrated Circuits (ICs), designed in Deep Sub-micron (DSM) technologies, making it imperative to perform delay fault testing in these ICs. However, DSM ICs, also suffer from limited controllability and observability, which impedes easy and efficient testing for such ICs. In this paper, we present a novel Design for Testability (DFT) scheme to enhance controllability for delay fault testing. Existing DFT techniques for delay fault testing either have very high overhead, or increase the complexity of test generation significantly. The DFT technique presented in this paper, exploits the characteristics of CMOS circuit family and reduces the problem of delay fault testing of scan based sequential static CMOS circuits to delay fault testing of combinational circuits with complete access to all inputs. The scheme has low overhead, and also provides significant reduction in power dissipation during scan operation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18

Similar content being viewed by others

References

  1. Ahmed N, Ravikumar C, Tehranipoor M, Plusquellic J (2005) At-speed transition fault testing with low speed scan enable. In: VLSI test symposium. IEEE, Piscataway, pp 42–47

    Google Scholar 

  2. Akers SB (1959) On a theory of Boolean functions. J Soc Ind Math 7(4):487–497

    Article  MATH  Google Scholar 

  3. Bruni L, Buonanno G, Sciuto D (1992) Transistor stuck-at and delay faults detection in static and dynamic CMOS combinational gates. In: International symposium on circuits and systems. IEEE, Piscataway, pp 431–434

    Google Scholar 

  4. Cao Y, Sato T, Orshansky M, Sylvester D, Hu C (2000) New paradigm of predictive MOSFET and interconnect modelling for early circuit simulation. In: Custom integrated circuits conference. IEEE, Piscataway, pp 201–204

    Google Scholar 

  5. Cheng K-T, Devadas S, Keutzer K (1993) Delay-fault test generation and synthesis for testability under a standard scan design methodology. IEEE Trans Comput-Aided Des Integr Circuits Syst 12(8):1217–1231

    Article  Google Scholar 

  6. Chiang ACL, Reed IS, Banes AV (1972) Path sensitization, partial boolean difference and automated fault diagnosis. IEEE Trans Comput C-21(2):189–195

    Google Scholar 

  7. Dadda L (1965) Some schemes for parallel multipliers. Alta Freq 34:349–356

    Google Scholar 

  8. Dervisoglu BI, Strong GE (1991) Design for testability: using scanPath techniques for path-delay test and measurement. In: International test conference. IEEE, Piscataway, pp 365–374

    Chapter  Google Scholar 

  9. Eichelberger EB, Williams TW (1977) A logic design structure for design for testability. In: Design automation conference. ACM, New York, pp 462–468

    Google Scholar 

  10. Glover CT, Mercer MR (1988) A method for delay fault test generation. In: Design automation conference. IEEE, Piscataway, pp 90–95

    Google Scholar 

  11. Hansen MC, Yalcin H, Hayes JP (1999) Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering (1999). IEEE Des Test Comput 16(3):72–80

    Article  Google Scholar 

  12. Hesley S, Andrade V, Burd B, Constant G, Correll J, Crowley M, Golden M, Hopkins N, Islam S, Johnson S, Khondker R, Meyer D, Moench J, Partovi H, Posey R, Weber F, Yong J (1999) A 7th-generation x86 microprocessor. In: International solid state circuits conference. IEEE, Piscataway, pp 92–93

    Google Scholar 

  13. Lesser JP, Shedletsky JJ (1980) An experimental delay test generator for LSI logic. IEEE Trans Comput 29(3):235–248

    Article  Google Scholar 

  14. Levendel Y, Menon PR (1986) Transition faults in combinational circuits: input transition test generation and fault simulation. In: International fault tolerant computing symposium. IEEE, Piscataway, pp 278–283

    Google Scholar 

  15. Lin CJ, Reddy SM (1987) On delay fault testing in logic circuit. IEEE Trans Comput-Aided Des 6(5):183–190

    Google Scholar 

  16. Lin X, Press R, Rajski J, Reuter P, Rinderknecht T, Swanson B, Tamarapalli N (2003) High-frequency, at-speed scan testing. IEEE Des Test Comput 20(5):17–25

    Article  Google Scholar 

  17. Malaiya YK, Narayanaswamy R (1984) Modeling and testing for timing Faults in synchronous sequential circuits. IEEE Des Test Comput 1(6):62–74

    Article  Google Scholar 

  18. Mao W, Ciletti MD (1990) Arrangement of latches in scan-path design to improve delay fault coverage. In: International test conference. IEEE, Piscataway, pp 387–393

    Chapter  Google Scholar 

  19. Mitra S, Seifert N, Zhang M, Shi Q, Kim KS (2005) Robust system design with built-in soft-error resilience. IEEE Comput 38(2):43–52

    Google Scholar 

  20. Mutoh S, Douseki T, Matsuya Y, Aoki T, Shigematsu S, Yamada J (1995) 1-V Power supply high-speed digital ciruit technology with multithreshold-voltage CMOS. IEEE J Solid-State Circuits 30(8):847–853

    Article  Google Scholar 

  21. Rabaey JM, Chandrakasan A, Nikolic B (2002) Digital integrated circuits: a design perspective. Pearson Education, Upper Saddle River

  22. Savir J (1992) Skewed load transition test: part I, calculus. In: International test conference. IEEE, Piscataway, pp 705–713

    Chapter  Google Scholar 

  23. Savir J (1992) Skewed load transition test: part II, coverage. In: International test conference. IEEE, Piscataway, pp 714–722

    Google Scholar 

  24. Savir J (1994) On broad-side delay test. In: VLSI test symposium. IEEE, Piscataway, pp 284–290

    Google Scholar 

  25. Saxena J, Butler KM, Gatt J, Raghuraman R, Kumar SP, Basu S, Campbell DJ, Berech J (2002) Scan-based transition fault testing - implementation and low cost test challenges. In: International test conference. IEEE, Piscataway, pp 1120–1129

    Chapter  Google Scholar 

  26. Shepard KL, Narayanan V (1996) Noise in deep submicron digital design. In: International conference on computer-aided design. IEEE, Piscataway, pp 524–531

    Chapter  Google Scholar 

  27. Synopsis Inc. (2000) Primetime Reference - Version 2000.11. Synopsis, Mountain View

  28. Tekumalla RC, Menon PR (1997) Delay testing with clock control: an alternative to enhanced scan. In: International test conference. IEEE, Piscataway, pp 454–462

    Google Scholar 

  29. Touba NA, McCluskey EJ (1996) Applying two pattern tests usign scan-mapping. In: VLSI test symposium. IEEE, Piscataway, pp 393–397

    Google Scholar 

  30. University of Texas at Austin (2007) EE382M VLSI-2 class notes, http://www.ece.utexas.edu/~mcdermot

  31. University of Texas at Austin (2007) VLSI testing class notes, http://www.ece.utexas.edu/~touba

  32. Wallace C (1964) A suggestion for a fast multiplier. IEEE Trans Comput 13:14–17

    Article  MATH  Google Scholar 

  33. Wood TJ (1999) The test and debug features of the AMD-K7TM microprocessor. In: International test conference. IEEE, Piscataway, pp 130–136

    Google Scholar 

Download references

Acknowledgments

The authors would like to thank Whitney J. Townsend for helping us design the multipliers. This work was supported in part by the IBM Faculty Partnership Award Program, and in part by the Gigascale Systems Research Center at UC Berkeley under contract 2003-DT-660 from Microelectronics Advanced Research Corporation (MARCO).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ramyanshu Datta.

Additional information

Responsible Editor: K.-T. Cheng

Antony Sebastine and Ravi Gupta contributed to this paper when they are graduate students at the University of Texas at Austin.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Datta, R., Gupta, R., Sebastine, A. et al. Controllability of Static CMOS Circuits for Timing Characterization. J Electron Test 24, 481–496 (2008). https://doi.org/10.1007/s10836-007-5059-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-007-5059-6

Keywords

Navigation