Skip to main content
Log in

Masking of X-Values by Use of a Hierarchically Configurable Register

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

In this paper we consider masking of unknowns (X-values) for VLSI circuits. We present a new hierarchical method of X-masking which is a major improvement of the method proposed in [4], called WIDE1. By the method proposed, the number of observable scan cells is optimized and data volume for X-masking can be significantly reduced in comparison to WIDE1. This is demonstrated for three industrial designs. In cases where all X-values have to be masked the novel approach is especially efficient.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

Notes

  1. Instead of an AND-gate all logic functions with controlling values can be used [1].

References

  1. Abramovichi M, Breuer MA, Friedman AD (1990) Digital systems testing and testable design. MIT Press, ISBN 0-7803-1062-4

  2. Ando H (1980) Testing VLSI with random access scan. Proceedings of the COMPCON, pp 50–52

  3. Arai M, Fukumoto S, Iwasaki K, Matsuo T, Hiraide T, Konishi H, Emori M, Aikyo T (2006) Test data compression of 100x for scan-based BIST. Proceedings International Test Conference (ITC), Paper 23.3

  4. Chickermane V, Foutz B, Keller B (2004) Channel masking synthesis for efficient on-chip test compression. Proceedings ITC, pp 452–461

  5. Hakmi A-W, Wunderlich H-J, Zoellin CG, Glowatz A, Hapke F, Schloeffel J, Souef L (2007) Programmable deterministic built-in self-test. Proceedings ITC, Paper 18.1

  6. Hilscher M, Braun M, Richter M, Leininger A, Gössel M (2008) Accelerated shift registers for X-tolerant test data compaction. Proc. 13th European Test Symposium, pp 133–139

  7. Mitra S, Kim KS (2002) X-compact: an efficient response compaction technique for test cost reduction. Proceedings ITC, pp 311–320

  8. Mitra S, Kim KS (2006) XPAND: an efficient test stimulus compression technique. IEEE Trans Comput 55:163–173

    Article  Google Scholar 

  9. Mitra S, Mitzenmacher M, Lumetta SS, Patil N (2005) X-tolerant test response compaction. IEEE Des Test Comput 22:566–574

    Article  Google Scholar 

  10. Mrugalski G, Mukherjee N, Rajski J, Czysz D, Tyszer J (2009) Highly X-tolerant selective compaction of test responses. Proceedings VTS, pp 245–250

  11. Peterson WW, Weldon Jr DJ (1994) Error-correcting codes. MIT Press, ISBN 0262160390

  12. Rajski J, Tyszer J, Kassab M et al. (2002) Embedded deterministic test for low cost manufacturing test. Proceedings ITC, pp 301–310

  13. Rajski J, Tyszer J, Mrugalski G, Cheng W-T, Mukherjee N, Kassab M (2006) X-press compactor for 1000x reduction of test data. Proceedings ITC, Paper 18.1

  14. Saluja K, Karpovsky M (1983) Testing computer hardware through data compression in space and time. Proceedings ITC, pp 83–88

  15. Sharma M, Cheng W-T (2005) X-filter: filtering unknowns from compacted test responses. Proceedings ITC, Paper 42.1

  16. Tang H, Wang C, Rajski J, Reddy SM, Tyszer J, Pomeranz I (2005) On efficient X-handling using a selective compaction scheme to achieve high test response compaction ratios. 18th International Conference on VLSI Design, pp 59–64

  17. Tang Y, Wunderlich H-J, Vranken H, Hapke F, Wittke M, Engelke P, Polian I, Becker B (2004) X-masking during logic BIST and its impact on defect coverage. Proceedings ITC, pp 442–451

  18. Touba NA (2006) Survey of test vector compression techniques. IEEE Des Test Comput 23:294–303

    Article  Google Scholar 

  19. Touba NA (2007) X-canceling MISR—an X-tolerant methodology for compacting output responses with unknowns using a MISR. Proceedings ITC, Paper 6.2

  20. Wang S, Wei W, Chakradhar ST (2007) Unknown blocking scheme for low control data volume and high observability. Design, Automation & Test in Europe Conference, pp 1–6

  21. Wohl P, Waicukauski JA, Patel S, Amin MB (2003) X-tolerant compression and application of scan-atpg patterns in a BIST architecture. Proceedings ITC, pp 727–736

Download references

Acknowledgment

This work has been funded within the MAYA project under label 01M3063A by the German Federal Ministry for Education and Research (BMBF). The authors are grateful to the reviewers for the helpful comments.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Thomas Rabenalt.

Additional information

Responsible Editor: C. Metra

A provisional version of this paper was presented at the European Test Symposium 2009

Rights and permissions

Reprints and permissions

About this article

Cite this article

Rabenalt, T., Goessel, M. & Leininger, A. Masking of X-Values by Use of a Hierarchically Configurable Register. J Electron Test 27, 31–41 (2011). https://doi.org/10.1007/s10836-010-5179-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-010-5179-2

Keywords

Navigation