Skip to main content
Log in

Survey of Robustness Enhancement Techniques for Wireless Systems-on-a-Chip and Study of Temperature as Observable for Process Variations

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Built-in test and on-chip calibration features are becoming essential for reliable wireless connectivity of next generation devices suffering from increasing process variations in CMOS technologies. This paper contains an overview of contemporary self-test and performance enhancement strategies for single-chip transceivers. In general, a trend has emerged to combine several techniques involving process variability monitoring, digital calibration, and tuning of analog circuits. Special attention is directed towards the investigation of temperature as an observable for process variations, given that thermal coupling through the silicon substrate has recently been demonstrated as mechanism to monitor the performances of analog circuits. Both Monte Carlo simulations and experimental results are presented in this paper to show that circuit-level specifications exhibit correlations with silicon surface temperature changes. Since temperature changes can be measured with efficient on-chip differential temperature sensors, a conceptual outline is given for the use of temperature sensors as alternative process variation monitors.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22

Similar content being viewed by others

References

  1. Abdallah L, Stratigopoulos HG, Kelma C, Mir S (2010) Sensors for built-in alternate RF test. In: Proc IEEE European Test Symposium (ETS), pp 49–54

  2. Agarwal K, Hayes J, Nassif S (2008) Fast characterization of threshold voltage fluctuation in MOS devices. IEEE Trans Semicond Manuf 21(4):526–533

    Article  Google Scholar 

  3. Ahsan N, Dabrowski J, Ouacha A (2008) A self-tuning technique for optimization of dual band LNA. In: Proc European Conference on Wireless Technology (EuWiT), pp 178–181

  4. Aldrete-Vidrio E, Mateo D, Altet J (2007) Differential temperature sensors fully compatible with a 0.35-μm CMOS process. IEEE Trans Components Packaging Technol 30(4):618–626

    Article  Google Scholar 

  5. Aldrete-Vidrio E, Mateo D, Altet J, Salhi MA, Grauby S, Dilhaire S, Onabajo M, Silva-Martinez J (2010) Strategies for built-in characterization testing and performance monitoring of analog RF circuits with temperature measurements. Meas Sci Technol 21(7):075104(10pp)

    Article  Google Scholar 

  6. Altet J, Rubio A, Schaub E, Dilahire S, Claeys W (2001) Thermal coupling in integrated circuits: application to thermal testing. IEEE J Solid State Circ 36(1):81–91

    Article  Google Scholar 

  7. Altet J, Claeys W, Dilhaire S, Rubio A (2006) Dynamic surface temperature measurements in ICs. Proc IEEE 94(8):1519–1533

    Article  Google Scholar 

  8. Bhattacharya S, Chatterjee A (2004) Use of embedded sensors for built-in-test RF circuits. In: Proc IEEE International Test Conference (ITC), pp 801–809

  9. Bhushan M, Gattiker A, Ketchen MB, Das KK (2006) Ring oscillators for CMOS process tuning and variability control. IEEE Trans Semiconductor Manuf 19(1):10–18

    Article  Google Scholar 

  10. Chiang C, Kawa J (2007) Design for Manufacturability and Yield for Nano-scale CMOS. Dordrecht, Springer, pp 14–15

    Google Scholar 

  11. Cimino M, Lapuyade H, De Matos M, Taris T, Deval Y, Bégueret JB (2007) A robust 130 nm-CMOS built-in current sensor dedicated to RF applications. J Electron Test 23(6):593–603

    Article  Google Scholar 

  12. Dabrowski JJ, Ramzan RM (2010) Built-in loopback test for IC RF transceivers. IEEE Trans Very Large Scale Integration (VLSI) Systems 18(6):933–946

    Article  Google Scholar 

  13. Darabi H, Chiu J, Khorram S, Kim HJ, Zhou Z, Chien HM, Ibrahim B, Geronaga E, Tran LH, Rofougaran A (2005) A dual-mode 802.11b/Bluetooth radio in 0.35-μm CMOS. IEEE J Solid-State Circuits 40(3):698–706

    Article  Google Scholar 

  14. Das T, Gopalan A, Washburn C, Mukund PR (2005) Self-calibration of input-match in RF front-end circuitry. IEEE Trans Circ Syst II Express Briefs 52(12):821–825

    Article  Google Scholar 

  15. Eberle W, Tubbax J, Come B, Donnay S, De Man H, Gielen G (2002) OFDM-WLAN receiver performance improvement using digital compensation techniques. In: Proc IEEE Radio and Wireless Conference (RAWCON), pp 111–114

  16. Elahi I, Muhammad K, Balsara PT (2006) I/Q mismatch compensation using adaptive decorrelation in a low-IF receiver in 90-nm CMOS process. IEEE J Solid State Circ 41(2):395–404

    Article  Google Scholar 

  17. Eliezer O, Staszewski RB, Mannath D (2010) A statistical approach for design and testing of analog circuitry in low-cost SoCs. In: Proc IEEE International Midwest Symposium on Circuits and Systems (MWSCAS) 1–4 August, Seattle, USA

  18. Elmala MAI, Embabi SHK (2004) Calibration of phase and gain mismatches in Weaver image-reject receiver. IEEE J Solid State Circ 39(2):283–289

    Article  Google Scholar 

  19. Fan X, Onabajo M, Fernandez F, Silva-Martinez J, Sánchez-Sinencio E (2008) A current injection built-in test technique for RF low-noise amplifiers. IEEE Trans Circ Syst I Regular Pap 55(7):1794–1804

    Article  Google Scholar 

  20. Gielen GGE (2006) Design methodologies and tools for circuit design in CMOS nanometer technologies. In: Proc 36th European Solid-State Device Research Conference, pp 21–32

  21. Glas JPF (1998) Digital I/Q imbalance compensation in a low-IF receiver. In: Proc IEEE Global Telecommunications Conference (GLOBECOM), vol 3, pp 1461–1466

  22. Gómez D, Mateo D, Altet J (2010) Electro-thermal coupling analysis methodology for RF circuits. In: Proc 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC), pp 154–160

  23. Haider A, Bhattacharya S, Srinivasan G, Chatterjee A (2005) A system-level alternate test approach for specification test of RF transceivers in loopback mode. In: Proc 18th International Conference on VLSI Design, pp 289–294

  24. Hsieh YH, Hu WY, Lin SM, Chen CL, Li WK, Chen SJ, Chen DJ (2005) An auto-I/Q calibrated CMOS transceiver for 802.11g. IEEE J Solid State Circ 40(11):2187–2192

    Article  Google Scholar 

  25. International Roadmap for Semiconductors, Test & Test Equipment (2009) Available: http://public.itrs.net/reports.html

  26. Jose AP, Jenkins KA, Reynolds SK (2005) On-chip spectrum analyzer for analog built-in self test. In: Proc IEEE VLSI Test Symposium, pp 131–136

  27. Kaczman D, Shah M, Alam M, Rachedine M, Cashen D, Han L, Raghavan A (2009) A single-chip 10-band WCDMA/HSDPA 4-band GSM/EDGE SAW-less CMOS receiver with DigRF 3G interface and +90 dBm IIP2. IEEE J Solid State Circ 44(3):718–739

    Article  Google Scholar 

  28. Kivekas K, Parssinen A, Ryynanen J, Jussila J, Halonen K (2002) Calibration techniques of active BiCMOS mixers. IEEE J Solid State Circ 37(6):766–769

    Article  Google Scholar 

  29. Liao CH, Chuang HR (2003) A 5.7-GHz 0.18-μm CMOS gain-controlled differential LNA with current reuse for WLAN receiver. IEEE Microwave Wireless Compon Lett 13(12):526–528

    Article  Google Scholar 

  30. Mattisson S, Hagberg H, Andreani P (2008) Sensitivity degradation in a tri-band GSM BiCMOS direct-conversion receiver caused by transient substrate heating. IEEE J Solid State Circ 43(2):486–496

    Article  Google Scholar 

  31. Mobarak M, Onabajo M, Silva-Martinez J, Sánchez-Sinencio E (2010) Attenuation- predistortion linearization of CMOS OTAs with digital correction of process variations in OTA-C filter applications. IEEE J Solid State Circ 45(2):351–367

    Article  Google Scholar 

  32. Montemayor R, Razavi B (2000) A self-calibrating 900-MHz CMOS image-reject receiver. In: Proc European Solid-State Circuits Conference (ESSCIRC), pp 320–323

  33. Negreiros M, Carro L, Susin AA (2006) An improved RF loopback for test time reduction. In: Proc Design, Automation and Test in Europe Conference and Exhibition, pp 646–651

  34. Onabajo M, Altet J, Aldrete-Vidrio E, Mateo E, Silva-Martinez J (to appear) Electro-thermal design procedure to observe RF circuit power and linearity characteristics with a homodyne differential temperature sensor. Accepted for publication in IEEE Trans Circuits and Systems I: Regular Papers. Available: http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5635371

  35. Onabajo M, Silva-Martinez J, Fernandez F, Sánchez-Sinencio E (2009) An on-chip loopback block for RF transceiver built-in test. IEEE Trans Circ Syst II Express Briefs 56(6):444–448

    Article  Google Scholar 

  36. Rebaud B, Belleville M, Beigne E, Robert M, Maurine P, Azemard N (2009) An innovative timing slack monitor for variation tolerant circuits. In: Proc IEEE International Conference on IC Design and Technology (ICICDT), pp 215–218

  37. Roberts GW, Dufort B (1999) Making complex mixed-signal telecommunication integrated circuits testable. IEEE Commun Mag June, pp 90–96

  38. Ryu JY, Kim BC, Sylla I (2006) A new low-cost RF built-in self-test measurement for system-on-chip transceivers. IEEE Trans Instrum Meas 55(2):381–388

    Article  Google Scholar 

  39. Shi B, Chia YW (2005) “An analog mismatch calibration system for image-reject receivers,” In: Proc European Conference on Wireless Technology, pp. 225–228

  40. Shin H, Park J, Abraham JA (2010) Spectral prediction for specification-based loopback test of embedded mixed-signal circuits. J Electron Test 26(1):73–86

    Article  Google Scholar 

  41. Srinivasan G, Chatterjee A, Taenzler F (2006) Alternate loop-back diagnostic tests for wafer-level diagnosis of modern wireless transceivers using spectral signatures. In: Proc 24th VLSI Test Symposium, pp 222–227

  42. Staszewski RB, Bashir I, Eliezer O (2007) RF Built-in self test of a wireless transmitter. IEEE Trans Circ Syst II Express Briefs 54(2):186–190

    Article  Google Scholar 

  43. Stopjakova V, Manhaeve H, Sidiropulos M (1999) On-chip transient current monitor for testing of low-voltage CMOS IC. In: Proc Design, Automation and Test in Europe Conference and Exhibition, pp 538–542

  44. Valdes-Garcia A, Hussien FAL, Silva-Martinez J, Sánchez-Sinencio E (2006) An integrated frequency response characterization system with a digital interface for analog testing. IEEE J Solid State Circ 41(10):2301–2313

    Article  Google Scholar 

  45. Valdes-Garcia A, Venkatasubramanian R, Silva-Martinez J, Sánchez-Sinencio E (2008) A broadband CMOS amplitude detector for on-chip RF measurements. IEEE Trans Instrum Meas 57(7):1470–1477

    Article  Google Scholar 

  46. Vassiliou I, Vavelidis K, Georgantas T, Plevridis S, Haralabidis N, Kamoulakos G, Kapnistis C, Kavadias S, Kokolakis Y, Merakos P, Rudell JC, Yamanaka A, Bouras S, Bouras I (2003) A single-chip digitally calibrated 5.15-5.825-GHz 0.18-μm CMOS transceiver for 802.11a wireless LAN. IEEE J Solid State Circ 38(12):2221–2231

    Article  Google Scholar 

  47. Wang Q, Soma M (2006) RF front-end system gain and linearity built-in test. In: Proc 24th IEEE VLSI Test Symposium, pp 228–233

  48. Yin Q, Eisenstadt WR, Fox RM, Zhang T (2005) A translinear RMS detector for embedded test of RF ICs. IEEE Trans Instrum Meas 54(5):1708–1714

    Article  Google Scholar 

  49. Zjajo A, de Gyvez JP (2005) Evaluation of signature-based testing of RF/analog circuits. In: Proc IEEE European Test Symposium, pp 62–67

Download references

Acknowledgment

This work was supported in part by grants from TAMU-CONACYT, the National Science Foundation under contract ECCS-0824031, as well as project ENIAC MODERN (Spanish MICINN PLE2009-0024) and TERASYSTEMS TEC2008-01856. D. Gómez acknowledges the support of an AGAUR FI2009 scholarship.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Marvin Onabajo.

Additional information

Responsible Editor: H. Stratigopoulos

Rights and permissions

Reprints and permissions

About this article

Cite this article

Onabajo, M., Gómez, D., Aldrete-Vidrio, E. et al. Survey of Robustness Enhancement Techniques for Wireless Systems-on-a-Chip and Study of Temperature as Observable for Process Variations. J Electron Test 27, 225–240 (2011). https://doi.org/10.1007/s10836-011-5199-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-011-5199-6

Keywords

Navigation