Skip to main content
Log in

An Efficient Compatibility-Based Test Data Compression and Its Decoder Architecture

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Test data compression is an effective methodology for reducing test data volume and testing time. A novel compatibility-based test data compression method is presented in this paper. With the high compression efficiency of extended frequency-directed run length coding algorithm, the proposed method groups the test vectors that have least incompatible bits and amalgamates them into a single vector by assigning 1 or 0 to unspecified bits and c to incompatible bits. Three runs of 1, 0 and c can be encoded simultaneously. In addition, the corresponding decoder architecture with low hardware overhead has been developed. To evaluate the effectiveness of the proposed approach, in experiments, it is applied to the International Symposium on Circuits and Systems’ benchmark circuits. The experiments results show that the proposed algorithm gets a higher compression ratio than the conventional algorithms.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5

Similar content being viewed by others

References

  1. Balakrishman KJ, Touba A (2006) Relationship between entropy and test data compression. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 26(2):386–395. doi:10.1109/TCAD.2006.882600

    Article  Google Scholar 

  2. Chandra A, Chakrabarty K (2001) System-on-a-chip test data compression and decompression architectures based on Golomb codes. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 20(3):355–368. doi:10.1109/43.913754

    Article  Google Scholar 

  3. Chandra A, Chakrabarty K (2001) Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression. Proceedings 19th IEEE VLSI Test Symposium, pp 42–47. doi:10.1109/VTS.2001.923416

  4. Chandra A, Chakrabarty K (2003) A unified approach to reduce SOC test data volume, scan power and testing time. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 22(3):352–363. doi:10.1109/TCAD.2002.807895

    Article  Google Scholar 

  5. El-Maleh AH (2008) Efficient test compression technique based on block merging. IET Comput Digit Tech 2(5):327–335. doi:10.1049/iet-cdt:20070003

    Article  Google Scholar 

  6. El-Maleh A, Al-Abaji R (2002) Extended frequency directed run length codes with improved application to system-on-a -chip test data compression. Proceedings 9th International Conference Electron Circuits Systems, pp 449–452. doi:10.1109/ICECS.2002.1046192

  7. Gonciari PT, Al-Hashimi BM, Nicolici N (2003) Variable-length input huffman coding for system-on-a-chip test. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 22(6):783–796. doi:10.1109/TCAD.2003.811451

    Article  Google Scholar 

  8. Hamzaoglu I, Patel JH (1998) Test set compaction algorithms for combinational circuits. Proceedings The International Conference on Computer-Aided Design, pp 283–289. doi:10.1109/ICCAD.1998.144279

  9. Han Y, Yu Hu, Li X, Li H, Chandra A (2007) Embedded test decompressor to reduce the required channels and vector memory of tester for complex processor circuit. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 15(5):531–540. doi:10.1109/TVLSI.2007.893652

    Article  Google Scholar 

  10. Hellebrand S, Rajski J, Tarnick S, Venkataraman S, Courtois B (1995) Built-in test for circuits with scan based on reseeding of multiple-polynomial linear feedback shift registers. IEEE Trans Comput 44(2):223–233. doi:10.1109/12.364534

    Article  MATH  Google Scholar 

  11. Jas A, Touba NA (1998) Test vector decompression via cyclical scan chains and its application to testing core-based design. Proceedings ITC, pp 458–464. doi:10.1109/TEST.1998.743186

  12. Jas A, Ghosh-Dastidar J, Touba NA (1999) Scan vector compression/decompression using statistical coding. Proceedings 17th VLSI Test Symposium, pp 114–120. doi:10.1109/VTEST.1999.766654

  13. Jas A, Ghosh-Dastidar J, Ng M-E, Touba NA (2003) An efficient test vector compression scheme using selective huffman coding. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 22(6):797–806. doi:10.1109/TCAD.2003.811452

    Article  Google Scholar 

  14. Kavousianos X, Kalligeros E, Nikolos D (2007) Multilevel Huffman coding: an efficient test-data compression method for IP cores. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 26(6):1070–1083. doi:10.1109/TCAD.2006.885830

    Article  Google Scholar 

  15. Kavousianos X, Kalligeros E, Nikolos D (2007) Optimal selective Huffman coding for test-data compression. IEEE Trans Comput 56(8):1146–1152. doi:10.1109/TC.2007.1057

    Article  MathSciNet  Google Scholar 

  16. Kavousianos X, Kalligeros E, Nikolos D (2008) Test data compression based on variable-to-variable Huffman encoding with codeword reusability. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 27(7):1333–1338. doi:10.1109/TCAD.2008.923100

    Article  Google Scholar 

  17. Kavousianos X, Kalligeros E, Nikolos D (2008) Multilevel-Huffman test-data compression for IP cores with multiple scan chains. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16:926–931. doi:10.1109/TVLSI.2008.2000448

    Article  Google Scholar 

  18. Koenemann B (2003) Care bit density and test cube clusters:multi-level compression opportunities. Proceedings 21st International Conference on Computer Design, pp 320–325. doi:10.1109/ICCD.2003.1240913

  19. Koenemann B, Banhart C, Keller B, Snethen T, Farnsworth O, Wheater D (2001) A smart BIST variant with guaranteed encoding. Proceedings 10th Asia Test Symposium, pp 325–330. doi:10.1109/ATS.2001.990304

  20. Konemann B (1991) LFSR-coded test patterns for scan designs. Proceedings 2nd European Test Conference, pp 237–242

  21. Krishna CV, Touba NA (2002) Reducing test data volume using LFSR reseeding with seed compression. Proceedings ITC, pp 321–330. doi:10.1109/TEST.2002.1041775

  22. Krishna CV, Jas A, Touba NA (2001) Test vector encoding using partial LFSR reseeding. Proceedings International Test Conference (ITC), pp 885–893. doi:10.1109/TEST.2001.966711

  23. Lee J, Touba NA (2006) Combining linear and non-linear test vector compression using correlation-based rectangular encoding. Proceedings 24th VLSI Test Symposium, pp 252–257. doi:10.1109/VTS.2006.25

  24. Mrugalski G, Mukherjee N, Rajski J, Czysz D, Tyszer J (2009) Compression based on deterministic vector clustering of incompatible test cubes. Proceedings International Test Conference (ITC), pp 1–10. doi:10.1109/TEST.2009.5355555

  25. Nourani M, Tehranipour MH (2005) RL-Huffman encoding for test compression and power reduction in scan applications. ACM Trans Des Autom Electron Syst 10(1):91–115. doi:10.1145/1044111.1044117

    Article  Google Scholar 

  26. Rajski J, Tyszer J, Zacharia N (1998) Test data decompression for multiple scan designs with boundary scan. IEEE Trans Comput 47(11):1188–1200. doi:10.1109/12.736428

    Article  Google Scholar 

  27. Rajski J, Tyszer J, Kassab M, Mukherjee N (2004) Embedded deterministic test. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 23(5):776–792. doi:10.1109/TCAD.2004.826558

    Article  Google Scholar 

  28. Tehranipoor M, Nourani M, Chakrabarty K (2005) Nine-coded compression technique for testing embedded cores in SoCs. IEEE Transactions on Computer-Aided Design Integrated Circuits Systems 13(6):719–731. doi:10.1109/TVLSI.2005.844311

    Google Scholar 

  29. Volkerink E, Mitra S (2003) Efficient seed utilization for reseeding based compression. Proceedings 21st VLSI Test Symposium, pp 232–237. doi:10.1109/VTEST.2003.1197656

  30. Volkerink EH, Khoche A, Subhasish M (2002) Packet-based input test data compression techniques. Proceedings ITC, pp 154–163. doi:10.1109/TEST.2002.1041756

  31. Wan MY, Ding Y, Pan Y, Zhou S, Yan XL (2010) Test data compression using extended frequency-directed run length code based on compatibility. Electron Lett 46(6):404–406. doi:10.1049/el.2010.2449

    Article  Google Scholar 

  32. Wuertenberger A, Tautermann CS, Hellebrand S (2003) A hybrid coding strategy for optimized test data compression. Proceedings ITC, pp 451–459. doi:10.1109/TEST.2003.1270870

  33. Zacharia N, Rajski J, Tyszer J (1995) Decompression of test data using variable-length seed LFSRs. Proceedings 13th VLSI Test Symposium, pp 426–433. doi:10.1109/VTEST.1995.512670

  34. Zhanglei W, Chakrabarty K (2005) Test data compression for IP embedded cores using selective encoding of scan slices. Proceedings International Test Conference (ITC), pp 581–590. doi:10.1109/TEST.2005.1584019

  35. Zorian Y, Marinissen EJ, Dey S (1999) Testing embedded-core based system chips. Computer 32(6):52–60. doi:10.1109/2.769444

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yong Ding.

Additional information

Responsible Editor: N. A. Touba

This research is supported by the National High Technology Research and Development Program of China (Grant No. 2009AA011706) and the Fundamental Research Funds for the Central Universities (Grant No. KYJD09012).

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wan, My., Ding, Y., Pan, Y. et al. An Efficient Compatibility-Based Test Data Compression and Its Decoder Architecture. J Electron Test 27, 787–796 (2011). https://doi.org/10.1007/s10836-011-5258-z

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-011-5258-z

Keywords

Navigation