Skip to main content
Log in

Efficient Test Compression Technique for SoC Based on Block Merging and Eight Coding

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Growing test data volume and excessive test application time are two serious concerns in scan-based testing for SoCs. This paper presents an efficient test-independent compression technique based on block merging and eight coding (BM-8C) to reduce the test data volume and test application time. Test compression is achieved by encoding the merged blocks after merging consecutive compatible blocks with exact eight codewords. The proposed scheme compresses the pre-computed test data without requiring any structural information of the circuit under test. Therefore, it is applicable for IP cores in SoCs. Experimental results demonstrate that the BM-8C technique can achieve an average compression ratio up to 68.14 % with significant low test application time.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

References

  1. Bayraktaroglu I, Orailoglu A (2003) Decompression hardware determination for test volume and time reduction through unfied test pattern compaction and compression. In: Proceedings IEEE VLSI test symposium (VTS), pp 113–118

  2. Chandra A, Chakrabarty K (2001) System-on-a-chip data compression and decompression architecture based on Golomb codes. IEEE Trans Comput Aided Des Integr Circuits Syst 20(3):355–368

    Article  Google Scholar 

  3. Chandra A, Chakrabarty K (2003) Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes. IEEE Trans Comput 52(8):1076–1088

    Article  Google Scholar 

  4. Chandra A, Chakrabarty K (2003) A unified approach to reduce SoC test data volume, scan power and testing time. IEEE Trans Comput Aided Des Integr Circuits Syst 22(3):352–363

    Article  Google Scholar 

  5. El-Maleh AH (2008) Test data compression for system-on-a-chip using extended frequency-directed run-length code. IET Comput Digit Tech 2(3):155–163

    Article  Google Scholar 

  6. El-Maleh AH (2008) Effcient test compression technique based on block merging. IET Comput Digit Tech 2(5):327–335

    Article  Google Scholar 

  7. El-Maleh A, Zahir SA, Khan E (2011) Test data compression based on geometric shapes. Comput Electr Eng 37:376–391

    Article  Google Scholar 

  8. Gonciari PT, Al-Hashimi B, Nicolici N (2002) Improving compression ratio, area overhead, and test application time for system-on-a-chip test data compression/decompression. In: Proceedings IEEE design automation and test in Europe conference and exhibition (DATE), pp 604–611

  9. Gonciari PT, Al-Hashimi BM, Nicolici N (2003) Variable-length input Huffman coding for system-on-a-chip test. IEEE Trans Comput Aided Des Integr Circuits Syst 22(6):783–796

    Article  Google Scholar 

  10. Gonciari PT, Al-Hashimi B, Nicolici N (2005) Synchronization overhead in SoC compressed test. IEEE Trans VLSI Syst 13(1):140–152

    Article  Google Scholar 

  11. Hamzaoglu I, Patel JH (1998) Test set compaction algorithms for combinational circuits. In: Proceedings IEEE/ACM international conference on computer-aided design (ICCAD), pp 283–289

  12. Hamzaoglu I, Patel JH (1999) Reducing test application time for full scan embedded cores. In: 29th international symposium on Fault-Tolerant computers (FTCS), pp 260–267

  13. Jas A, Ghosh-Dastidar J, et al. (2003) An efficient test vector compression scheme using selective Huffman coding. IEEE Trans Comput Aided Des Integr Circuits Syst 22(6):797–806

    Article  Google Scholar 

  14. Kavousianos X, Kalligeros E, Nikolos D (2007) Optimal selective Huffman coding for test-data compression. IEEE Trans Comput 56(8):1146–1152

    Article  MathSciNet  Google Scholar 

  15. Kavousianos X, Kalligeros E, Nikolos D (2008) Multilevel Huffman test-data compression for IP cores with multiple scan chains. IEEE Trans VLSI Syst 16(7):926–931

    Article  Google Scholar 

  16. Kavousianos X, Kalligeros E, Nikolos D (2008) Test data compression based on variable-to-variable Huffman encoding with codeword reusability. IEEE Trans Comput Aided Des Integr Circuits Syst 27(7):1333–1338

    Article  Google Scholar 

  17. Krishna C, Touba NA (2002) Reducing test data volume using LFSR reseeding with seed compression. In: Proceedings IEEE international test conference (ITC), pp 321–330

  18. Lee L-J, Tseng W-D, et al. (2010) Test data compression using multi-dimensional pattern run-length codes. J Electron Test 26:393–400

    Article  Google Scholar 

  19. Lee L-J, Tseng W-D, Lin R-B (2011) An internal pattern run-Length methodology for slice encoding. ETRI J 33(3):374–381

    Article  Google Scholar 

  20. Lee L-J, Tseng W-D, et al. (2012) \(2^{n}\) pattern run-length for test data compresion. IEEE Trans Comput Aided Des Integr Circuits Syst 31(4):644–648

    Article  Google Scholar 

  21. Miyase K, Kajihara S, Reddy SM (2004) Multiple scan tree design with test vector modification. In: Proceedings IEEE Asian test symposium (ATS), pp 76–81

  22. Mrugalski G, Rajski J, Tyszer J (2004) Ring generators–new devices for embedded test applications. IEEE Trans Comput Aided Des 23(9):1306–1320

    Article  Google Scholar 

  23. Nourani M, Tehranipour MH (2005) RL-Huffman encoding for test compression and power reduction in scan applications. ACM Trans Des Automat Electron Syst 10(1):91–115

    Article  Google Scholar 

  24. Rajski J, Tyszer J, Kassab M, Mukherjee N (2004) Embedded deterministic test. IEEE Trans Comput Aided Des Integr Circuits Syst 23(5):776–792

    Article  Google Scholar 

  25. Ruan X, Katti R (2006) An efficient data-independent technique for compressing test vectors in systems-on-a-chip. In: Proceedings 2006 emerging VLSI technologies and architectures (ISVLSI), pp 153–158

  26. Tehranipoor M, Nourani M, Chakrabarty K (2005) Nine-coded compression technique for testing embedded cores in SoCs. IEEE Trans VLSI Syst 13(6):719–731

    Article  Google Scholar 

  27. Tenentes V, Kavousianos X, Kalligeros E (2010) Single and variable-state-skip LFSRs: bridging the gap between test data compression and test set embedding for IP cores. IEEE Trans Comput Aided Des Integr Circuits Syst 29(2):1640–1644

    Article  Google Scholar 

  28. Touba NA (2006) Survey of test vector compression techniques. IEEE Des Test Comput 23(4):294–303

    Article  Google Scholar 

  29. Wang L-T, Wen X, et al. (2004) VirtualScan: a new compressed scan technology for test cost reduction. In: Proceedings IEEE international test conference (ITC), pp 916–925

  30. Yi M-X, Liang H-G, et al. (2010) A novel x-ploiting strategy for improving performance of test data compression. IEEE Trans VLSI Syst 18(2):324–329

    Article  Google Scholar 

  31. Zhou B, Ye Y-Z, et al. (2010) A test set embedding approach based on twisted-ring counter with few seeds. Integration VLSI J 43:81–100

    Article  Google Scholar 

  32. Zorian Y, Marinissen EJ, Dey S (1998) Testing embedded-core based system chips. In: Proceedings IEEE international test conference (ITC), pp 130–143

Download references

Acknowledgment

This work is supported by Hunan Provincial Innovation Foundation For Postgraduate (no. CX2012B031). The authors would like to thank Dr. A. H. El-Maleh from King Fahd University of Petroleum and Minerals, Dr. Yinhe Han and Jun Liu from Chinese Academy of Sciences for providing test sets used in this paper.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tie-Bin Wu.

Additional information

Responsible Editor: N. A. Touba

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wu, TB., Liu, HZ. & Liu, PX. Efficient Test Compression Technique for SoC Based on Block Merging and Eight Coding. J Electron Test 29, 849–859 (2013). https://doi.org/10.1007/s10836-013-5415-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-013-5415-7

Keywords

Navigation