Skip to main content
Log in

A Novel Wafer Manipulation Method for Yield Improvement and Cost Reduction of 3D Wafer-on-Wafer Stacked ICs

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Three-dimensional IC (3D IC) exhibits various advantages over traditional two-dimensional IC (2D IC), including heterogeneous integration, reduced delay and power dissipation, compact device dimension, etc. Wafer-on-wafer stacking offers practical advantages in 3D IC fabrication, but it suffers from low compound yield. To improve the yield, a novel manipulation scheme of wafer named n-sector symmetry and cut (SSCn) is proposed. In this method, wafers with rotational symmetry are cut into n identical sectors, where n is a suitably chosen integer. The sectors are then used to replenish repositories. The SSCn method is combined with best-pair matching algorithm for compound yield evaluation. Simulation of wafers with nine different defect distributions shows that previously known plain rotation of wafers offers only a trivial benefits in yield. A cut number four is optimal for most of the defect models. The SSC4 provides significantly higher yield and the advantage becomes more obvious with increase of the repository size and the number of stacked layers. Cost model of SSCn is analyzed and the cost-effectiveness of SSC4 is established. Observations made are: 1) Cost benefits of SSC4 become larger as the manufacturing overhead of SSC4 become smaller, 2) cost improvement of SSC4 over conventional basic method increases as the number of stacked layers increases and 3) for most defect models, SSC4 largely reduces the cost even when manufacturing overhead of SSC4 is considered to be very large.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Beica R, Sharbono C, Ritzdorf T (2008) Through silicon via copper electrodeposition for 3D integration. In: Proceedings of 58th electronic components and technology conference (ECTC), pp 577–583

  2. Bushnell ML, Agrawal VD (2000) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits. Springer

  3. Davis WR, Wilson J, Mick S, Xu J, Hua H, Mineo C, Sule AM, Steer M, Franzon PD (2005) Demystifying 3D ICs: the pros and cons of going vertical. IEEE Des Test Comput 22(6):498–510

    Article  Google Scholar 

  4. De Nicoao G, Pasquinetti E, Miraglia G, Piccinini F (2003) Unsupervised spatial pattern classification of electrical failures in semiconductor manufacturing. In: Proceedings of artificial neural networks pattern recognition workshop, pp 125–131

  5. Di Palma F, De Nicolao G, Miraglia G, Pasquinetti E, Piccinini F (2005) Unsupervised spatial pattern classification of electrical-wafer-sorting maps in semiconductor manufacturing. Pattern Recogn Lett 26(12):1857–1865

    Article  Google Scholar 

  6. Dong X, Xie Y (2009) System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs). In: Proceedings of Asia and South pacific design automation conference (ASP-DAC), pp 234–241

  7. Dukovic J, et al (2010) Through-Silicon-Via technology for 3D integration. In: Proceedings of IEEE international memory workshop (IMW), pp 1–2

  8. Ferris-Prabhu AV (1989) An algebraic expression to count the number of chips on a wafer. IEEE Circ Devices Mag 5(1):37–39

    Article  Google Scholar 

  9. Gupta A, Porter WA, Lathrop JW (1974) Defect analysis and yield degradation of integrated circuits. IEEE J Solid State Circ 9(3):96–102

    Article  Google Scholar 

  10. Lee H-HS, Chak K (2009) Test challenges for 3D integrated circuits. IEEE Des Test Comput 26(5):26–35

    Article  Google Scholar 

  11. Liao H, Miao M, Wan X, Jin Y, Zhao L, Li B, Zhu Y, Sun X (2008) Microfabrication of through silicon vias (TSV) for 3D SiP. In: Proceedings of 9th international conference on solid-state and integrated-circuit technology (ICSICT), pp 1199–1202

  12. Marinissen EJ (2012) Challenges and emerging solutions in testing TSV-Based \(2\frac {1}{2}\)D- and 3D-Stacked ICs. In: Proceedings of design, automation & test in europe conference & exhibition (DATE), pp 1277–1282

  13. Puech M, Thevenoud JM, Gruffat JM, Launay N, Arnal N, Godinat P (2008) Fabrication of 3D packaging TSV using DRIE. In: Proceedings of symposium on design, test, integration and packaging of MEMS/MOEMS, pp 109–114

  14. Reda S, Smith G, Smith L (2009) Maximizing the functional yield of wafer-to-wafer 3-D integration. IEEE Trans Very Large Scale Integr (VLSI) Syst 17(9):1357–1362

    Article  Google Scholar 

  15. Rogers A (1974) Statistical analysis of spatial dispersions. Pion Limited, United Kingdom

    Google Scholar 

  16. Singh E (2011) Exploiting rotational symmetries for improved stacked yields in W2W 3D-SICs. In: Proceedings of IEEE 29th VLSI test symposium (VTS), pp 32–37

  17. Singh E (2012) Impact of radial defect clustering on 3D stacked IC yield from wafer to wafer stacking. In: Proceedings of international test conference (ITC), pp 1–7

  18. Smith L, Smith G, Hosali S, Arkalgud S (2007) Yield considerations in the choice of 3D technology. In: Proceedings of international symposium on semiconductor manufacturing (ISSM), pp 1–3

  19. Stapper CH (1986) On yield, fault distributions, and clustering of particles. IBM J Res Dev 30(3):326–338

    Article  Google Scholar 

  20. Stapper CH (1989) Simulation of spatial fault distributions for integrated circuit yield estimations. IEEE Trans Comput Aided Des Integr Circ Syst 8(12):1314–1318

    Article  Google Scholar 

  21. Taouil M, Hamdioui S (2012) Yield improvement for 3D wafer-to-wafer stacked memories. J Electron Test Theory Appl 28(4):523–534

    Article  Google Scholar 

  22. Taouil M, Hamdioui S, Beenakker K, Marinissen EJ (2012) Test impact on the overall die-to-wafer 3D stacked IC cost. J Electron Test Theory Appl 28(1):15–25

    Article  Google Scholar 

  23. Taouil M, Hamdioui S, Verbree J, Marinissen EJ (2010) On maximizing the compound yield for 3D wafer-to-wafer stacked ICs. In: Proceedings of IEEE international test conference (ITC), pp 1–10

  24. Teets D (1996) A model for radial yield degradation as a function of chip size. IEEE Trans Semicond Manuf 9(3):467–471

    Article  Google Scholar 

  25. Van der Plas G, et al (2011) Design issues and considerations for low-cost 3-D TSV IC technology. IEEE J Solid State Circ 46(1):293–307

    Article  Google Scholar 

  26. Van Olmen J, et al (2008) 3D stacked IC demonstration using a through silicon via first approach. In: Proceedings of IEEE international electron devices meeting (IEDM), pp 303–306

  27. Verbree J, Marinissen EJ, Roussel P, Velenis D (2010) On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking. In: Proceedings of 15th IEEE European test symposium (ETS), pp 36–41

  28. Vries DK (2005) Investigation of gross die per wafer formulas. IEEE Trans Semicond Manuf 18:136–139

    Article  Google Scholar 

  29. Yanagawa T (1969) Influence of epitaxial mounds on the yield of integrated circuits. Proc IEEE 57(9):1621–1628

    Article  Google Scholar 

  30. Yanagawa T (1972) Yield degradation of integrated circuits due to spot defects. IEEE Trans Electron Devices 19(2):190–197

    Article  MathSciNet  Google Scholar 

  31. Zhang B, Agrawal VD (2013) Wafer cut and rotation for compound yield improvement in 3D wafer-on-wafer stacking. In: Proceedings of IEEE North Atlantic test workshop (NATW)

  32. Zhang B, Li B, Agrawal VD (2013) Yield analysis of a novel wafer manipulation method in 3D stacking. In: Proceedings of IEEE international 3D systems integration conference (3DIC), pp 1–8

Download references

Acknowledgments

This research is supported in part by the National Science Foundation Grant CCF-1116213. The authors would like to thank Jiao Yu for her useful comments.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bei Zhang.

Additional information

Responsible Editor: T. Xia

This research was originally presented at the Twenty-second IEEE North Atlantic Test Workshop, May 2013.

Appendix

Appendix

Figure 16 shows the final production size of good 3D ICs considering different number of cuts. Same setup as in Section 5.1 applies here. As we can see, in most cases four-cuts produces the largest number of good 3D ICs. Note that 2 cuts are not used in these experiments because DPW of 2 cuts is identical to that for 4 cuts. However, 2-cuts provide less flexibility in matching and will definitely yield fewer good 3D ICs than 4 cuts. Also note that 3 cuts are not used either because the DPW for 3 cuts is lower than that for 4 cuts. Besides, 3 cuts provide less flexibility in wafer matching. More experiments have been done considering different wafer sizes, die sizes, defect models, etc. Since results are similar, they are not duplicated here.

Fig. 16
figure 16

Exploring the impact of number n of cuts on final production size of good 3D ICs produced by the sector symmetry and cut (SSCn) procedure

Rights and permissions

Reprints and permissions

About this article

Cite this article

Zhang, B., Agrawal, V.D. A Novel Wafer Manipulation Method for Yield Improvement and Cost Reduction of 3D Wafer-on-Wafer Stacked ICs. J Electron Test 30, 57–75 (2014). https://doi.org/10.1007/s10836-013-5429-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-013-5429-1

Keywords

Navigation