Skip to main content
Log in

Hardware Trojan Detection Based on Logical Testing

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

In recent years, hardware Trojans (HTs) have become one of the main challenging concerns within the chain of manufacturing digital integrated circuit chips. Because of their diversity in chips, HTs are difficult to detect and locate. This paper attempted to propose a new improved method for detection and localization of HTs based on the real-time logical values of nodes. The algorithm extracts the nodes with special attributes. At the next stage, the nodes with the greatest similarity in terms of logical value are selected as targets. Depending on the size of the circuit, the extraction continues until a sufficient number of similar nodes has been selected. The logical relationship between the candidate nodes yields a function, the logical values of which differ in the Trojan-free and Trojan-infected modes, thus detecting the potential Trojans. This method is scalable, overcoming the problems of noise and Process variation. The success rate of Trojan detection in this method is more than 80%. The most overhead is 13% for power consumption and 15% for area.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  1. Abramovici M, Bradley P (2009) Integrated circuit security: new threats and solutions. In: Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research: Cyber Security and Information Intelligence Challenges and Strategies, ACM, p 55

  2. Alves N, Buben A, Nepal K, Dworak J, Bahar RI (2010) A cost effective approach for online error detection using invariant relationships. IEEE Transactions on computer-aided design of Integrated Circuits and Systems 29(5):788–801

    Article  Google Scholar 

  3. Banga M, Hsiao MS (2009) A novel sustained vector technique for the detection of hardware Trojans. In: Proc. 22nd International Conference on VLSI Design, pp 327–332

  4. Bhunia S, Hsiao MS, Banga M, Narasimhan S (2014) Hardware Trojan attacks: threat analysis and countermeasures. Proc IEEE 102(8):1229–1247

    Article  Google Scholar 

  5. Bloom G, Narahari B, Simha R (2009) OS support for detecting Trojan circuit attacks. In: Proc. IEEE International Hardware-Oriented Security and Trust Workshop, HOST'09, pp 100–103

  6. Bloom G, Narahari B, Simha R, Zambreno J (2009) Providing secure execution environments with a last line of defense against trojan circuit attacks. Computers & security 28(7):660–669

    Article  Google Scholar 

  7. Chakraborty RS, Wolff F, Paul S, Papachristou C, Bhunia S (2009) MERO: a statistical approach for hardware Trojan detection. In: Proc. Cryptographic Hardware and Embedded Systems, CHES, Springer, pp 396–410

  8. Hicks M, Finnicum M, King ST, Martin MM, Smith JM (2010) Overcoming an untrusted computing base: Detecting and removing malicious hardware automatically. In: Proc. IEEE Symposium on Security and Privacy (SP), pp 159–172

  9. Jacob N, Merli D, Heyszl J, Sigl G (2014) Hardware Trojans: current challenges and approaches. IET Computers & Digital Techniques 8(6):264–273

    Article  Google Scholar 

  10. Jha S, Jha SK (2008) Randomization based probabilistic approach to detect trojan circuits. In: Proc. 11th IEEE Symposium on High Assurance Systems Engineering, HASE, pp 117–124

  11. Kim L-W, Villasenor JD (2009) A Trojan-resistant system-on-chip bus architecture. In: Proc. IEEE Military Communications Symposium, MILCOM, pp 1–6

  12. Li M, Davoodi A, Tehranipoor M A (2012) sensor-assisted self-authentication framework for hardware trojan detection. In: Proceedings of the Conference on Design, Automation and Test in Europe, EDA Consortium, pp 1331–1336

  13. McIntyre D, Wolff F, Papachristou C, Bhunia S, Weyer D (2009) Dynamic evaluation of hardware trust. In: Proc. IEEE International Workshop on Hardware-Oriented Security and Trust, HOST, pp 108–111

  14. Nejat A, Shekarian SMH, Zamani MS (2014) A study on the efficiency of hardware Trojan detection based on path-delay fingerprinting. Microprocess Microsyst 38(3):246–252

    Article  Google Scholar 

  15. Nowroz AN, Hu K, Koushanfar F, Reda S (2014) Novel techniques for high-sensitivity hardware trojan detection using thermal and power maps. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 33(12):1792–1805

    Article  Google Scholar 

  16. Oya M, Shi Y, Yanagisawa M, Togawa NA (2015) score-based classification method for identifying hardware-trojans at gate-level netlists. In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, EDA Consortium, pp 465–470

  17. Rajendran J, Sam M, Sinanoglu O, Karri R (2013) Security analysis of integrated circuit camouflaging. In: Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, pp 709–720

  18. Rajendran JJ, Sinanoglu O, Karri R (2016) Building trustworthy systems using untrusted components: a high-level synthesis approach. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24(9):2946–2959

    Article  Google Scholar 

  19. Salmani H, Tehranipoor M (2013) Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level. In: Proc. IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp 190–195

  20. Waksman A, Suozzo M, Sethumadhavan S (2013) FANCI: identification of stealthy malicious logic using boolean functional analysis. In: Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, pp 697–708

  21. Wei S, Potkonjak M (2012) Scalable hardware Trojan diagnosis. IEEE Transactions on very large scale integration (VLSI) systems 20(6):1049–1057

    Article  Google Scholar 

  22. Zhang X, Tehranipoor M (2011) RON: an on-chip ring oscillator network for hardware Trojan detection. In: Proc. Design, Automation & Test in Europe, IEEE, pp 1–6

  23. Zhang J, Yuan F, Wei L, Liu Y, Xu Q (2015) VeriTrust: verification for hardware trust. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 34(7):1148–1161

    Article  Google Scholar 

  24. Zhou B, Zhang W, Thambipillai S, Jin JTK, Chaturvedi V, Luo T (2016) Cost-efficient acceleration of hardware Trojan detection through fan-out cone analysis and weighted random pattern technique. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35(5):792–805

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Amin Bazzazi.

Additional information

Responsible Editor: M. S. Hsiao

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Bazzazi, A., Manzuri Shalmani, M.T. & Hemmatyar, A.M.A. Hardware Trojan Detection Based on Logical Testing. J Electron Test 33, 381–395 (2017). https://doi.org/10.1007/s10836-017-5670-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-017-5670-0

Keywords

Navigation