Skip to main content

Advertisement

Log in

Energy-aware scheduling and simulation methodologies for parallel security processors with multiple voltage domains

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Dynamic voltage scaling (DVS) and power gating (PG) have become mainstream technologies for low-power optimization in recent years. One issue that remains to be solved is integrating these techniques in correlated domains operating with multiple voltages. This article addresses the problem of power-aware task scheduling on a scalable cryptographic processor that is designed as a heterogeneous and distributed system-on-a-chip, with the aim of effectively integrating DVS, PG, and the scheduling of resources in multiple voltage domains (MVD) to achieve low energy consumption. Our approach uses an analytic model as the basis for estimating the performance and energy requirements between different domains and addressing the scheduling issues for correlated resources in systems. We also present the results of performance and energy simulations from transaction-level models of our security processors in a variety of system configurations. The prototype experiments show that our proposed methods yield significant energy reductions. The proposed techniques will be useful for implementing DVS and PG in domains with multiple correlated resources.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Lee CR, Lee JK, Hwang TT, Tsai SC (2003) Compiler optimizations on vliw instruction scheduling for low power. ACM Trans Des Automat Electron Syst 8(2):252–268

    Article  Google Scholar 

  2. Devadas S, Malik S (1995) A survey of optimization techniques targeting low power vlsi circuits. In: Proceedings of the design automation conference, pp 242–247

  3. Singh D, Rabaey J, Pedram M, Catthoor F, Rajgopal S, Sehgal N, Mozdzen T (1995) Power conscious cad tools and methodologies: a perspective. Proc IEEE 83:570–594

    Article  Google Scholar 

  4. Hsu CH, Kremer U, Hsiao M (2001) Compiler-directed dynamic voltage/frequency scheduling for energy reduction in microprocessors. In: Proceedings of the 2001 international symposium on low power electronics and design

  5. Azevedo A, Issenin I, Cornea R, Gupta R, Dutt N, Veidenbaum A, Nicolau A (2002) Profile-based dynamic voltage scheduling using program checkpoints. In: Proceedings of the conference on design, automation and test in Europe

  6. Weiser M, Welch B, Demers A, Shenker S (1994) Scheduling for reduced CPU energy. In: Proceedings of USENIX symposium on operating systems design and implementation (OSDI), pp 13–23

  7. Butts JA, Sohi GS (2000) A static power model for architects. In: Proceedings of the international symposium on microarchitecture, pp 191–201

  8. Powell MD, Yang SH, Falsafi B, Roy K, Vijaykumar TN (2000) Gated-vdd:a circuit technique to reduce leakage in deep-submicron cache memories. In: Proceedings ISLPED

  9. You YP, Huang CW, Lee JK (2005) A sink-n-hoist framework for leakage power reduction. In: Proceedings EMSOFT

  10. You YP, Lee CR, Lee JK (2002) Compiler analysis and support for leakage power reduction on microprocessors. In: Proceedings LCPC

  11. You YP, Lee CR, Lee JK (2006) Compilers for leakage power reductions. ACM Trans Des Autom Electron Syst 11(1):147–166

    Article  Google Scholar 

  12. Duarte D, Tsai Y, Vijaykrishnan N, Irwin MJ (2002) Evaluating run-time techniques for leakage power reduction. In: Proceedings ASPDAC

  13. Rele S, Pande S, Onder S, Gupta R (2002) Optimizing static power dissipation by functional units in superscalar processors. In: Proceedings of the international conference on compiler construction, pp 261–275

  14. Lackey DE, Bednar PSZTR, Stout DW, Gould SW, Cohn JM (2002) Managing power and performance for system-on-chip designs using voltage islands. In: Proceedings of the 2002 IEEE/ACM international conference on computer-aided design, pp 195–202

  15. Su CY, Hwang SA, Chen PS, Wu CW (1999) An improved Montgomery algorithm for high-speed rsa public-key cryptosystem. IEEE Trans Very Large Scale Integr Syst 7:280–284

    Article  Google Scholar 

  16. Hong JH, Wu CW (2003) Cellular array modular multiplier for the rsa public-key cryptosystem based on modified booth’s algorithm. IEEE Trans Very Large Scale Integr Syst 11:474–484

    Article  Google Scholar 

  17. Lin TF, Su CP, Huang CT, Wu CW (2002) A high-throughput low-cost aes cipher chip. In: 3rd IEEE Asia–Pacific conference ASIC

  18. Su CP, Lin TF, Huang CT, Wu CW (2003) A highly efficient aes cipher chip. In: ASP-DAC

  19. Wang MY, Su CP, Huang CT, Wu CW (2004) An hmac processor with integrated sha-1 and md5 algorithms. In: ASP-DAC

  20. Lee MC, Huang JR, Su CP, Chang TY, Huang CT, Wu CW (2002) A true random generator design. In: 13th VLSI design/CAD symposium

  21. Hifn (2003) 7954 security processor Data Sheet

  22. Gammage N, Waters G (2003) Securing the smart network with Motorola security processors

  23. Chang JM, Pedram M (1997) Energy minimization using multiple supply voltages. IEEE Trans Very Large Scale Integr Syst 5(4)

  24. Yu CC, Wang WP, Liu BD (2001) A new level converter for low-power applications. In: The 2001 IEEE international symposium on circuits and systems, pp 113–116

  25. ARM (2004) Intelligent energy controller technical overview

  26. You YP, Lee CR, Lee JK (2001) Real-time task scheduling for dynamically variable voltage processors. In: Proceedings of the IEEE workshop on power management for real-time and embedded systems

  27. Stankovic JA, Spuri M, Natale MD, Buttazzo G (1995) Implications of classical scheduling results for real-time systems. Computer 28(6):16–25

    Article  Google Scholar 

  28. Liu CL, Layland JW (1973) Scheduling algorithms for multiprogramming in a hard read-time environment. J ACM 20(1):46–61

    Article  MATH  Google Scholar 

  29. Shih WK, Liu JWS (1996) On-line scheduling of imprecise computations to minimize error. SIAM J Comput 25(5):1105–1121

    Article  MATH  Google Scholar 

  30. Pasricha S (2002) Transaction level modeling of soc with Systemc 2.0. Technical report, Synopsys Users Group Conference

  31. Semiconductor Industry Association (2003) International technology roadmap for semiconductors 2003 edition. Technical report

  32. Doyle B, Arghavani R, Barlage D, Datta S, Doczy M, Kavalieros J, Murthy A, Chau R (2002) Transistor elements for 30 nm physical gate lengths and beyond. Intel Technol J 6:42–54

    Google Scholar 

  33. Kim JM, Chae SI (1996) New mpeg2 decoder architecture using frequency scaling. In: IEEE international symposium on circuits and systems. ISCAS ’96, vol 4, pp 253–256

  34. Pouwelse J, Langendoen K, Sips H (2001) Dynamic voltage scaling on a low-power microprocessor. In: 7th ACM international conference on mobile computing and networking (Mobicom), Rome, Italy, pp 251–259

  35. Semeraro G, Magklis G, Balasubramonian R, Albonesi D, Dwarkadas S, Scott M (2002) Dynamic frequency and voltage control for a multiple clock domain microarchitecture

  36. Iyer A, Marculescu D (2002) Power and performance evaluation of globally asynchronous locally synchronous processors. In: Proceedings 29th annual international symposium on computer architecture, pp 158–168

  37. Pouwelse J, Langendoen K, Sips H (2001) Energy priority scheduling for variable voltage processors. In: Proceedings ISLPED

  38. Hong I, Kirovski D, Qu G, Potkonjak M, Srivastava MB (1999) Power optimization of variable-voltage core-based systems. IEEE Trans Comput Aided Des 18(12):1702–1714

    Article  Google Scholar 

  39. Luo J, Jha NK (2000) Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems. In: Proceedings ICCAD, pp 357–364

  40. Luo J, Jha N (2002) Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems. In: Proceedings ASPDAC

  41. Rao R, Vrudhula S (2004) Energy optimization for a two-device data flow chain. In: Proceedings of the 2004 international conference on computer aided design, pp 268–274

  42. Niyogi K, Marculescu D (2005) Speed and voltage selection for gals systems based on voltage/frequency islands. In: Proceedings of the ACM/IEEE Asia–Pacific design automation conference, China

  43. Lapin LL (1997) Modern engineering statistics. Wadsworth, Belmont

    Google Scholar 

  44. Hwang K, Briggs F (1984) Computer architecture and parallel processing. McGraw–Hill, New York

    MATH  Google Scholar 

  45. Bodin F, Windheiser D, Jalby W, Atapattu D, Lee M, Gannon D (1990) Performance evaluation and prediction for parallel algorithms on the bbn gp1000. In: Proceedings of the 4th ACM international conference on supercomputing, pp 401–403

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jenq Kuen Lee.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Lin, YC., You, YP., Huang, CW. et al. Energy-aware scheduling and simulation methodologies for parallel security processors with multiple voltage domains. J Supercomput 42, 201–223 (2007). https://doi.org/10.1007/s11227-007-0132-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-007-0132-6

Keywords

Navigation